約 4,742,360 件
https://w.atwiki.jp/umamono/pages/12.html
エビソバっていうよりは、、、Restaurant Green View カテゴリー チャイニーズ ロケーション クアラルンプール SS2 日時 2009/3/1 17 30ころ 夕飯時には少し早く着いたにも関わらず、また雨が降る中すでに多くの来客が。かねてからエビソバがうまいと聞いていたんだけれど、本物をみてびっくり。エビと言ってもロブスターのような大きさのエビが真っ二つにひらかれてそばに載っている。そして、そばはそばでも、あんかけのかた焼きそば。見た目の通り、味も抜群。写真は2人前。 #ref error :ご指定のファイルが見つかりません。ファイル名を確認して、再度指定してください。 (Green View Ebisoba.jpg) #ref error :ご指定のファイルが見つかりません。ファイル名を確認して、再度指定してください。 (ファイルのURL)
https://w.atwiki.jp/javamock/pages/29.html
String Javaにおいて java.lang.Stringクラスは文字列を扱うクラスです。 Stringは文字列リテラルを格納します。(「"」で文字を囲う) StringはUnicodeエスケープ(\u)を使うことができます。 String 使用例 1 StringSample1.java class StringSample1 { public static void main(String[] args) { String stringObject1 = "STRING"; String stringObject2 = "\u0053\u0054\u0052\u0049\u004E\u0047"; System.out.println("stringObject1 " + stringObject1); System.out.println("stringObject2 " + stringObject2); } } 実行結果 C \java javac StringSample1.java C \java java StringSample1 stringObject1 STRING stringObject2 STRING String 使用例 2 (文字列連結) 文字列を連結するには以下のように+を使います。 StringSample2.java class StringSample2 { public static void main(String[] args) { String stringObject1 = "Java"; String stringObject2 = "String"; String stringObject3 = "Sample"; System.out.println(stringObject1 + stringObject2 + stringObject3); } } 実行結果 C \java javac StringSample2.java C \java java StringSample2 JavaStringSample String 使用例 3 (よく使うメソッド) Stringのよく使うメソッド!といってもあくまで主観ですが。。。 StringSample3.java class StringSample3 { public static void main(String[] args) { String stringObject = "JavaStringSample"; String stringEmpty = ""; //String のメソッド equals(Object anObject) は文字列と指定されたオブジェクトを比較します。 System.out.println("stringObjectEqualsJavaStringSample " + stringObject.equals("JavaStringSample")); System.out.println("stringObjectEqualsJavaString " + stringObject.equals("JavaString")); //String のメソッド length() は文字列の長さを返します。(intで返す) System.out.println("stringObjectLength " + stringObject.length()); //String のメソッド substring(int beginIndex, int endIndex) は指定した部分の文字列を返します。 System.out.println("stringObjectSubstring4-10 " + stringObject.substring (4,10)); //String のメソッド isEmpty() は length() が0である場合にかぎり、trueを返します。 System.out.println("stringObjectisEmpty " + stringObject.isEmpty()); System.out.println("stringEmptyisEmpty " + stringEmpty.isEmpty()); } } 実行結果 C \java javac StringSample3.java C \java java StringSample3 stringObjectEqualsJavaStringSample true stringObjectEqualsJavaString false stringObjectLength 16 stringObjectSubstring4-10 String stringObjectisEmpty false stringEmptyisEmpty true String 使用例 4 (エスケープシーケンス) エスケープシーケンスを使ってみます。 \nが改行です。\tがタブです。\rはその行の先頭に戻ります。 また、「"」「 」「\」を表示させるには「\"」「\ 」「\\」とします。 StringSample4.java class StringSample4 { public static void main(String[] args) { // \nの使用例です。\nで改行されます。 String stringN = "stringLine1\nstringLine2"; System.out.println(stringN); System.out.println(); // \tの使用例です。\tでタブが入ります。 String stringColumn = "COLUMN1\tCOLUMN2\tCOLUMN3\tCOLUMN4\t"; String stringData = "data\tdata\tdata\tdata\t"; System.out.println(stringColumn); System.out.println(stringData); System.out.println(stringData); System.out.println(stringData); // \rの使用例です。\rで行の先頭に戻ります。 String stringR = "JAVA\rSTRING"; // \rで行の先頭に戻るのでここではJAVAと表示されません。 System.out.println(stringR); } } 実行結果 C \java javac StringSample4.java C \java java StringSample4 stringLine1 stringLine2 COLUMN1 COLUMN2 COLUMN3 COLUMN4 data data data data data data data data data data data data STRING String NullPointerException 例 null引数をコンストラクタ、またはこのクラスのメソッドへ渡すと NullPointerExceptionがスローされます。 StringSample5.java class StringSample5 { public static void main(String[] args) { String stringNull = null; System.out.println("stringNull " + stringNull); //ここでNullPointerException System.out.println(new String(stringNull)); } } 実行結果 C \java javac StringSample5.java C \java java StringSample5 stringNull null Exception in thread "main" java.lang.NullPointerException at java.lang.String. init (Unknown Source) at StringSample5.main(StringSample5.java 9)
https://w.atwiki.jp/dmori/pages/32.html
A.1 Source text A.1.1 Library source text library_text = { library_description } library_description = library_declaration | include_statement | config_declaration | ; library_declaration = library library_identifier file_path_spec { , file_path_spec } [ -incdir file_path_spec { , file_path_spec } ] ; include_statement = include file_path_spec ; A.1.2 SystemVerilog source text source_text = [ timeunits_declaration ] { description } description = module_declaration | udp_declaration | interface_declaration | program_declaration | package_declaration | { attribute_instance } package_item | { attribute_instance } bind_directive | config_declaration module_nonansi_header = { attribute_instance } module_keyword [ lifetime ] module_identifier [ parameter_port_list ] list_of_ports ; module_ansi_header = { attribute_instance } module_keyword [ lifetime ] module_identifier [ parameter_port_list ] [ list_of_port_declarations ] ; module_declaration = module_nonansi_header [ timeunits_declaration ] { module_item } endmodule [ module_identifier ] | module_ansi_header [ timeunits_declaration ] { non_port_module_item } endmodule [ module_identifier ] | { attribute_instance } module_keyword [ lifetime ] module_identifier ( .* ) ; [ timeunits_declaration ] { module_item } endmodule [ module_identifier ] | extern module_nonansi_header | extern module_ansi_header module_keyword = module | macromodule interface_nonansi_header = { attribute_instance } interface [ lifetime ] interface_identifier [ parameter_port_list ] list_of_ports ; interface_ansi_header = {attribute_instance } interface [ lifetime ] interface_identifier [ parameter_port_list ] [ list_of_port_declarations ] ; interface_declaration = interface_nonansi_header [ timeunits_declaration ] { interface_item } endinterface [ interface_identifier ] | interface_ansi_header [ timeunits_declaration ] { non_port_interface_item } endinterface [ interface_identifier ] | { attribute_instance } interface interface_identifier ( .* ) ; [ timeunits_declaration ] { interface_item } endinterface [ interface_identifier ] | extern interface_nonansi_header | extern interface_ansi_header program_nonansi_header = { attribute_instance } program [ lifetime ] program_identifier [ parameter_port_list ] list_of_ports ; program_ansi_header = {attribute_instance } program [ lifetime ] program_identifier [ parameter_port_list ] [ list_of_port_declarations ] ; program_declaration = program_nonansi_header [ timeunits_declaration ] { program_item } endprogram [ program_identifier ] | program_ansi_header [ timeunits_declaration ] { non_port_program_item } endprogram [ program_identifier ] | { attribute_instance } program program_identifier ( .* ) ; [ timeunits_declaration ] { program_item } endprogram [ program_identifier ] | extern program_nonansi_header | extern program_ansi_header class_declaration = [ virtual ] class [ lifetime ] class_identifier [ parameter_port_list ] [ extends class_type [ ( list_of_arguments ) ] ]; { class_item } endclass [ class_identifier] package_declaration = { attribute_instance } package package_identifier ; [ timeunits_declaration ] { { attribute_instance } package_item } endpackage [ package_identifier ] timeunits_declaration = timeunit time_literal ; | timeprecision time_literal ; | timeunit time_literal ; timeprecision time_literal ; | timeprecision time_literal ; timeunit time_literal ; A.1.3 Module parameters and ports parameter_port_list = # ( list_of_param_assignments { , parameter_port_declaration } ) | # ( parameter_port_declaration { , parameter_port_declaration } ) | #( ) parameter_port_declaration = parameter_declaration | data_type list_of_param_assignments | type list_of_type_assignments list_of_ports = ( port { , port } ) list_of_port_declarations25 = ( [ { attribute_instance} ansi_port_declaration { , { attribute_instance} ansi_port_declaration } ] ) port_declaration = { attribute_instance } inout_declaration | { attribute_instance } input_declaration | { attribute_instance } output_declaration | { attribute_instance } ref_declaration | { attribute_instance } interface_port_declaration port = [ port_expression ] | . port_identifier ( [ port_expression ] ) port_expression = port_reference | { port_reference { , port_reference } } port_reference = port_identifier constant_select port_direction = input | output | inout | ref net_port_header = [ port_direction ] net_port_type variable_port_header = [ port_direction ] variable_port_type interface_port_header = interface_identifier [ . modport_identifier ] | interface [ . modport_identifier ] ansi_port_declaration = [ net_port_header | interface_port_header ] port_identifier { unpacked_dimension } | [ variable_port_header ] port_identifier { variable_dimension } [ = constant_expression ] | [ net_port_header | variable_port_header ] . port_identifier ( [ expression ] ) A.1.4 Module items module_common_item = module_or_generate_item_declaration | interface_instantiation | program_instantiation | concurrent_assertion_item | bind_directive | continuous_assign | net_alias | initial_construct | final_construct | always_construct | loop_generate_construct | conditional_generate_construct module_item = port_declaration ; | non_port_module_item module_or_generate_item = { attribute_instance } parameter_override | { attribute_instance } gate_instantiation | { attribute_instance } udp_instantiation | { attribute_instance } module_instantiation | { attribute_instance } module_common_item module_or_generate_item_declaration = package_or_generate_item_declaration | genvar_declaration | clocking_declaration | default clocking clocking_identifier ; non_port_module_item = generate_region | module_or_generate_item | specify_block | { attribute_instance } specparam_declaration | program_declaration | module_declaration | interface_declaration | timeunits_declaration17 parameter_override = defparam list_of_defparam_assignments ; bind_directive = bind bind_target_scope [ bind_target_instance_list] bind_instantiation ; | bind bind_target_instance bind_instantiation ; bind_target_scope = module_identifier | interface_identifier bind_target_instance = hierarchical_identifier constant_bit_select bind_target_instance_list = bind_target_instance { , bind_target_instance } bind_instantiation = program_instantiation | module_instantiation | interface_instantiation A.1.5 Configuration source text config_declaration = config config_identifier ; design_statement { config_rule_statement } endconfig [ config_identifier ] design_statement = design { [ library_identifier . ] cell_identifier } ; config_rule_statement = default_clause liblist_clause ; | inst_clause liblist_clause ; | inst_clause use_clause ; | cell_clause liblist_clause ; | cell_clause use_clause ; default_clause = default inst_clause = instance inst_name inst_name = topmodule_identifier { . instance_identifier } cell_clause = cell [ library_identifier . ] cell_identifier liblist_clause = liblist {library_identifier} use_clause = use [ library_identifier . ] cell_identifier [ config ] A.1.6 Interface items interface_or_generate_item = { attribute_instance } module_common_item | { attribute_instance } modport_declaration | { attribute_instance } extern_tf_declaration extern_tf_declaration = extern method_prototype ; | extern forkjoin task_prototype ; interface_item = port_declaration ; | non_port_interface_item non_port_interface_item = generate_region | interface_or_generate_item | program_declaration | interface_declaration | timeunits_declaration17 A.1.7 Program items program_item = port_declaration ; | non_port_program_item non_port_program_item = { attribute_instance } continuous_assign | { attribute_instance } module_or_generate_item_declaration | { attribute_instance } initial_construct | { attribute_instance } final_construct | { attribute_instance } concurrent_assertion_item | { attribute_instance } timeunits_declaration17 | program_generate_item program_generate_item37 = loop_generate_construct | conditional_generate_construct | generate_region A.1.8 Class items class_item = { attribute_instance } class_property | { attribute_instance } class_method | { attribute_instance } class_constraint | { attribute_instance } class_declaration | { attribute_instance } timeunits_declaration17 | { attribute_instance } covergroup_declaration | ; class_property = { property_qualifier } data_declaration | const { class_item_qualifier } data_type const_identifier [ = constant_expression ] ; class_method = { method_qualifier } task_declaration | { method_qualifier } function_declaration | extern { method_qualifier } method_prototype ; | { method_qualifier } class_constructor_declaration | extern { method_qualifier } class_constructor_prototype class_constructor_prototype = function new ( [ tf_port_list ] ) ; class_constraint = constraint_prototype | constraint_declaration class_item_qualifier7 = static | protected | local property_qualifier7 = random_qualifier | class_item_qualifier random_qualifier7 = rand | randc method_qualifier7 = virtual | class_item_qualifier method_prototype = task_prototype | function_prototype class_constructor_declaration = function [ class_scope ] new [ ( [ tf_port_list ] ) ] ; { block_item_declaration } [ super . new [ ( list_of_arguments ) ] ; ] { function_statement_or_null } endfunction [ new ] A.1.9 Constraints constraint_declaration = [ static ] constraint constraint_identifier constraint_block constraint_block = { { constraint_block_item } } constraint_block_item = solve identifier_list before identifier_list ; | constraint_expression constraint_expression = expression_or_dist ; | expression - constraint_set | if ( expression ) constraint_set [ else constraint_set ] | foreach ( array_identifier [ loop_variables ] ) constraint_set constraint_set = constraint_expression | { { constraint_expression } } dist_list = dist_item { , dist_item } dist_item = value_range [ dist_weight ] dist_weight = = expression | / expression constraint_prototype = [ static ] constraint constraint_identifier ; extern_constraint_declaration = [ static ] constraint class_scope constraint_identifier constraint_block identifier_list = identifier { , identifier } A.1.10 Package items package_item = package_or_generate_item_declaration | anonymous_program | timeunits_declaration17 package_or_generate_item_declaration = net_declaration | data_declaration | task_declaration | function_declaration | dpi_import_export | extern_constraint_declaration | class_declaration | class_constructor_declaration | parameter_declaration ; | local_parameter_declaration | covergroup_declaration | overload_declaration | concurrent_assertion_item_declaration | ; anonymous_program = program ; { anonymous_program_item } endprogram anonymous_program_item = task_declaration | function_declaration | class_declaration | covergroup_declaration | class_constructor_declaration | ; A.2 Declarations A.2.1 Declaration types A.2.1.1 Module parameter declarations local_parameter_declaration = localparam data_type_or_implicit list_of_param_assignments ; | localparam type list_of_type_assignments ; parameter_declaration = parameter data_type_or_implicit list_of_param_assignments | parameter type list_of_type_assignments specparam_declaration = specparam [ packed_dimension ] list_of_specparam_assignments ; A.2.1.2 Port declarations inout_declaration = inout net_port_type list_of_port_identifiers input_declaration = input net_port_type list_of_port_identifiers | input variable_port_type list_of_variable_identifiers output_declaration = output net_port_type list_of_port_identifiers | output variable_port_type list_of_variable_port_identifiers interface_port_declaration = interface_identifier list_of_interface_identifiers | interface_identifier . modport_identifier list_of_interface_identifiers ref_declaration = ref variable_port_type list_of_port_identifiers A.2.1.3 Type declarations data_declaration14 = [ const ] [ var ] [ lifetime ] data_type_or_implicit list_of_variable_decl_assignments ; | type_declaration | package_import_declaration | virtual_interface_declaration package_import_declaration = import package_import_item { , package_import_item } ; package_import_item = package_identifier identifier | package_identifier * genvar_declaration = genvar list_of_genvar_identifiers ; net_declaration13 = net_type [ drive_strength | charge_strength ] [ vectored | scalared ] data_type_or_implicit [ delay3 ] list_of_net_decl_assignments ; type_declaration = typedef data_type type_identifier { variable_dimension } ; | typedef interface_instance_identifier . type_identifier type_identifier ; | typedef [ enum | struct | union | class ] type_identifier ; lifetime = static | automatic A.2.2 Declaration data types A.2.2.1 Net and variable types casting_type = simple_type | constant_primary | signing data_type = integer_vector_type [ signing ] { packed_dimension } | integer_atom_type [ signing ] | non_integer_type | struct_union [ packed [ signing ] ] { struct_union_member { struct_union_member } } { packed_dimension }12 | enum [ enum_base_type ] { enum_name_declaration { , enum_name_declaration } } | string | chandle | virtual [ interface ] interface_identifier | [ class_scope | package_scope ] type_identifier { packed_dimension } | class_type | event | ps_covergroup_identifier | type_reference28 data_type_or_implicit = data_type | [ signing ] { packed_dimension } enum_base_type = integer_atom_type [ signing ] | integer_vector_type [ signing ] [ packed_dimension ] | type_identifier [ packed_dimension ]23 enum_name_declaration = enum_identifier [ [ integral_number [ integral_number ] ] ] [ = constant_expression ] class_scope = class_type class_type = ps_class_identifier [ parameter_value_assignment ] { class_identifier [ parameter_value_assignment ] } integer_type = integer_vector_type | integer_atom_type integer_atom_type = byte | shortint | int | longint | integer | time integer_vector_type = bit | logic | reg non_integer_type = shortreal | real | realtime net_type = supply0 | supply1 | tri | triand | trior | trireg| tri0 | tri1 | uwire| wire | wand | wor net_port_type33 = [ net_type ] data_type_or_implicit variable_port_type = var_data_type var_data_type = data_type | var data_type_or_implicit signing = signed | unsigned simple_type = integer_type | non_integer_type | ps_type_identifier | ps_parameter_identifier struct_union_member26 = { attribute_instance } [random_qualifier] data_type_or_void list_of_variable_decl_assignments ; data_type_or_void = data_type | void struct_union = struct | union [ tagged ] type_reference = type ( expression27 ) | type ( data_type ) A.2.2.2 Strengths drive_strength = ( strength0 , strength1 ) | ( strength1 , strength0 ) | ( strength0 , highz1 ) | ( strength1 , highz0 ) | ( highz0 , strength1 ) | ( highz1 , strength0 ) strength0 = supply0 | strong0 | pull0 | weak0 strength1 = supply1 | strong1 | pull1 | weak1 charge_strength = ( small ) | ( medium ) | ( large ) A.2.2.3 Delays delay3 = # delay_value | # ( mintypmax_expression [ , mintypmax_expression [ , mintypmax_expression ] ] ) delay2 = # delay_value | # ( mintypmax_expression [ , mintypmax_expression ] ) delay_value = unsigned_number | real_number | ps_identifier | time_literal A.2.3 Declaration lists list_of_defparam_assignments = defparam_assignment { , defparam_assignment } list_of_genvar_identifiers = genvar_identifier { , genvar_identifier } list_of_interface_identifiers = interface_identifier { unpacked_dimension } { , interface_identifier { unpacked_dimension } } list_of_net_decl_assignments = net_decl_assignment { , net_decl_assignment } list_of_param_assignments = param_assignment { , param_assignment } list_of_port_identifiers = port_identifier { unpacked_dimension } { , port_identifier { unpacked_dimension } } list_of_udp_port_identifiers = port_identifier { , port_identifier } list_of_specparam_assignments = specparam_assignment { , specparam_assignment } list_of_tf_variable_identifiers = port_identifier { variable_dimension } [ = expression ] { , port_identifier { variable_dimension } [ = expression ] } list_of_type_assignments = type_assignment { , type_assignment } list_of_variable_decl_assignments = variable_decl_assignment { , variable_decl_assignment } list_of_variable_identifiers = variable_identifier { variable_dimension } { , variable_identifier { variable_dimension } } list_of_variable_port_identifiers = port_identifier { variable_dimension } [ = constant_expression ] { , port_identifier { variable_dimension } [ = constant_expression ] } list_of_virtual_interface_decl = variable_identifier [ = interface_instance_identifier ] { , variable_identifier [ = interface_instance_identifier ] } A.2.4 Declaration assignments defparam_assignment = hierarchical_parameter_identifier = constant_mintypmax_expression net_decl_assignment = net_identifier { unpacked_dimension } [ = expression ] param_assignment = parameter_identifier { unpacked_dimension } = constant_param_expression specparam_assignment = specparam_identifier = constant_mintypmax_expression | pulse_control_specparam type_assignment = type_identifier = data_type pulse_control_specparam = PATHPULSE$ = ( reject_limit_value [ , error_limit_value ] ) | PATHPULSE$specify_input_terminal_descriptor$specify_output_terminal_descriptor = ( reject_limit_value [ , error_limit_value ] ) error_limit_value = limit_value reject_limit_value = limit_value limit_value = constant_mintypmax_expression variable_decl_assignment = variable_identifier { variable_dimension } [ = expression ] | dynamic_array_variable_identifier [ ] [ = dynamic_array_new ] | class_variable_identifier [ = class_new ] | [ covergroup_variable_identifier ] = new [ ( list_of_arguments ) ]15 class_new19 = new [ ( list_of_arguments ) | expression ] dynamic_array_new = new [ expression ] [ ( expression ) ] A.2.5 Declaration ranges unpacked_dimension = [ constant_range ] | [ constant_expression ] packed_dimension11 = [ constant_range ] | unsized_dimension associative_dimension = [ data_type ] | [ * ] variable_dimension = unsized_dimension | unpacked_dimension | associative_dimension | queue_dimension queue_dimension = [ $ [ constant_expression ] ] unsized_dimension = [ ] A.2.6 Function declarations function_data_type = data_type | void function_data_type_or_implicit = function_data_type | [ signing ] { packed_dimension } function_declaration = function [ lifetime ] function_body_declaration function_body_declaration = function_data_type_or_implicit [ interface_identifier . | class_scope ] function_identifier ; { tf_item_declaration } { function_statement_or_null } endfunction [ function_identifier ] | function_data_type_or_implicit [ interface_identifier . | class_scope ] function_identifier ( [ tf_port_list ] ) ; { block_item_declaration } { function_statement_or_null } endfunction [ function_identifier ] function_prototype = function function_data_type function_identifier ( [ tf_port_list ] ) dpi_import_export = import dpi_spec_string [ dpi_function_import_property ] [ c_identifier = ] dpi_function_proto ; | import dpi_spec_string [ dpi_task_import_property ] [ c_identifier = ] dpi_task_proto ; | export dpi_spec_string [ c_identifier = ] function function_identifier ; | export dpi_spec_string [ c_identifier = ] task task_identifier ; dpi_spec_string = "DPI-C" | "DPI" dpi_function_import_property = context | pure dpi_task_import_property = context dpi_function_proto8,9 = function_prototype dpi_task_proto9 = task_prototype A.2.7 Task declarations task_declaration = task [ lifetime ] task_body_declaration task_body_declaration = [ interface_identifier . | class_scope ] task_identifier ; { tf_item_declaration } { statement_or_null } endtask [ task_identifier ] | [ interface_identifier . | class_scope ] task_identifier ( [ tf_port_list ] ) ; { block_item_declaration } { statement_or_null } endtask [ task_identifier ] tf_item_declaration = block_item_declaration | tf_port_declaration tf_port_list = tf_port_item { , tf_port_item } tf_port_item34 = { attribute_instance } [ tf_port_direction ] [ var ] data_type_or_implicit [ port_identifier { variable_dimension } [ = expression ] ] tf_port_direction = port_direction | const ref tf_port_declaration = { attribute_instance } tf_port_direction [ var ] data_type_or_implicit list_of_tf_variable_identifiers ; task_prototype = task task_identifier ( [ tf_port_list ] ) A.2.8 Block item declarations block_item_declaration = { attribute_instance } data_declaration | { attribute_instance } local_parameter_declaration | { attribute_instance } parameter_declaration ; | { attribute_instance } overload_declaration overload_declaration = bind overload_operator function data_type function_identifier ( overload_proto_formals ) ; overload_operator = + | ++ | ? | ? ? | * | ** | / | % | == | != | | = | | = | = overload_proto_formals = data_type {, data_type} A.2.9 Interface declarations virtual_interface_declaration = virtual [ interface ] interface_identifier list_of_virtual_interface_decl ; modport_declaration = modport modport_item { , modport_item } ; modport_item = modport_identifier ( modport_ports_declaration { , modport_ports_declaration } ) modport_ports_declaration = { attribute_instance } modport_simple_ports_declaration | { attribute_instance } modport_tf_ports_declaration | { attribute_instance } modport_clocking_declaration modport_clocking_declaration = clocking clocking_identifier modport_simple_ports_declaration = port_direction modport_simple_port { , modport_simple_port } modport_simple_port = port_identifier | . port_identifier ( [ expression ] ) modport_tf_ports_declaration = import_export modport_tf_port { , modport_tf_port } modport_tf_port = method_prototype | tf_identifier import_export = import | export A.2.10 Assertion declarations concurrent_assertion_item = [ block_identifier ] concurrent_assertion_statement concurrent_assertion_statement = assert_property_statement | assume_property_statement | cover_property_statement assert_property_statement = assert property ( property_spec ) action_block assume_property_statement = assume property ( property_spec ) ; cover_property_statement = cover property ( property_spec ) statement_or_null expect_property_statement = expect ( property_spec ) action_block property_instance = ps_property_identifier [ ( [ list_of_arguments ] ) ] concurrent_assertion_item_declaration = property_declaration | sequence_declaration property_declaration = property property_identifier [ ( [ tf_port_list ] ) ] ; { assertion_variable_declaration } property_spec ; endproperty [ property_identifier ] property_spec = [clocking_event ] [ disable iff ( expression_or_dist ) ] property_expr property_expr = sequence_expr | ( property_expr ) | not property_expr | property_expr or property_expr | property_expr and property_expr | sequence_expr |- property_expr | sequence_expr |= property_expr | if ( expression_or_dist ) property_expr [ else property_expr ] | property_instance | clocking_event property_expr sequence_declaration = sequence sequence_identifier [ ( [ tf_port_list ] ) ] ; { assertion_variable_declaration } sequence_expr ; endsequence [ sequence_identifier ] sequence_expr = cycle_delay_range sequence_expr { cycle_delay_range sequence_expr } | sequence_expr cycle_delay_range sequence_expr { cycle_delay_range sequence_expr } | expression_or_dist [ boolean_abbrev ] | ( expression_or_dist {, sequence_match_item } ) [ boolean_abbrev ] | sequence_instance [ sequence_abbrev ] | ( sequence_expr {, sequence_match_item } ) [ sequence_abbrev ] | sequence_expr and sequence_expr | sequence_expr intersect sequence_expr | sequence_expr or sequence_expr | first_match ( sequence_expr {, sequence_match_item} ) | expression_or_dist throughout sequence_expr | sequence_expr within sequence_expr | clocking_event sequence_expr cycle_delay_range = ## integral_number | ## identifier | ## ( constant_expression ) | ## [ cycle_delay_const_range_expression ] sequence_method_call = sequence_instance . method_identifier sequence_match_item = operator_assignment | inc_or_dec_expression | subroutine_call sequence_instance = ps_sequence_identifier [ ( [ list_of_arguments ] ) ] formal_list_item = formal_identifier [ = actual_arg_expr ] list_of_formals = formal_list_item { , formal_list_item } actual_arg_expr = event_expression | $ boolean_abbrev = consecutive_repetition | non_consecutive_repetition | goto_repetition sequence_abbrev = consecutive_repetition consecutive_repetition = [* const_or_range_expression ] non_consecutive_repetition = [= const_or_range_expression ] goto_repetition = [- const_or_range_expression ] const_or_range_expression = constant_expression | cycle_delay_const_range_expression cycle_delay_const_range_expression = constant_expression constant_expression | constant_expression $ expression_or_dist = expression [ dist { dist_list } ] assertion_variable_declaration = var_data_type list_of_variable_identifiers ; A.2.11 Covergroup declarations covergroup_declaration = covergroup covergroup_identifier [ ( [ tf_port_list ] ) ] [ coverage_event ] ; { coverage_spec_or_option } endgroup [ covergroup_identifier ] coverage_spec_or_option = {attribute_instance} coverage_spec | {attribute_instance} coverage_option ; coverage_option = option.member_identifier = expression | type_option.member_identifier = expression coverage_spec = cover_point | cover_cross coverage_event = clocking_event | @@( block_event_expression ) block_event_expression = block_event_expression or block_event_expression | begin hierarchical_btf_identifier | end hierarchical_btf_identifier hierarchical_btf_identifier = hierarchical_tf_identifier | hierarchical_block_identifier | hierarchical_identifier [ class_scope ] method_identifier cover_point = [ cover_point_identifier ] coverpoint expression [ iff ( expression ) ] bins_or_empty bins_or_empty = { {attribute_instance} { bins_or_options ; } } | ; bins_or_options = coverage_option | [ wildcard ] bins_keyword bin_identifier [ [ [ expression ] ] ] = { open_range_list } [ iff ( expression ) ] | [ wildcard] bins_keyword bin_identifier [ [ ] ] = trans_list [ iff ( expression ) ] | bins_keyword bin_identifier [ [ [ expression ] ] ] = default [ iff ( expression ) ] | bins_keyword bin_identifier = default sequence [ iff ( expression ) ] bins_keyword = bins | illegal_bins | ignore_bins range_list = value_range { , value_range } trans_list = ( trans_set ) { , ( trans_set ) } trans_set = trans_range_list { = trans_range_list } trans_range_list = trans_item | trans_item [ [* repeat_range ] ] | trans_item [ [- repeat_range ] ] | trans_item [ [= repeat_range ] ] trans_item = range_list repeat_range = expression | expression expression cover_cross = [ cover_point_identifier ] cross list_of_coverpoints [ iff ( expression ) ] select_bins_or_empty list_of_coverpoints = cross_item , cross_item { , cross_item } cross_item = cover_point_identifier | variable_identifier select_bins_or_empty = { { bins_selection_or_option ; } } | ; bins_selection_or_option = { attribute_instance } coverage_option | { attribute_instance } bins_selection bins_selection = bins_keyword bin_identifier = select_expression [ iff ( expression ) ] select_expression = select_condition | ! select_condition | select_expression select_expression | select_expression || select_expression | ( select_expression ) select_condition = binsof ( bins_expression ) [ intersect { open_range_list } ] bins_expression = variable_identifier | cover_point_identifier [ . bins_identifier ] open_range_list = open_value_range { , open_value_range } open_value_range = value_range20 A.3 Primitive instances A.3.1 Primitive instantiation and instances gate_instantiation = cmos_switchtype [delay3] cmos_switch_instance { , cmos_switch_instance } ; | enable_gatetype [drive_strength] [delay3] enable_gate_instance { , enable_gate_instance } ; | mos_switchtype [delay3] mos_switch_instance { , mos_switch_instance } ; | n_input_gatetype [drive_strength] [delay2] n_input_gate_instance { , n_input_gate_instance } ; | n_output_gatetype [drive_strength] [delay2] n_output_gate_instance { , n_output_gate_instance } ; | pass_en_switchtype [delay2] pass_enable_switch_instance { , pass_enable_switch_instance } ; | pass_switchtype pass_switch_instance { , pass_switch_instance } ; | pulldown [pulldown_strength] pull_gate_instance { , pull_gate_instance } ; | pullup [pullup_strength] pull_gate_instance { , pull_gate_instance } ; cmos_switch_instance = [ name_of_instance ] ( output_terminal , input_terminal , ncontrol_terminal , pcontrol_terminal ) enable_gate_instance = [ name_of_instance ] ( output_terminal , input_terminal , enable_terminal ) mos_switch_instance = [ name_of_instance ] ( output_terminal , input_terminal , enable_terminal ) n_input_gate_instance = [ name_of_instance ] ( output_terminal , input_terminal { , input_terminal } ) n_output_gate_instance = [ name_of_instance ] ( output_terminal { , output_terminal } , input_terminal ) pass_switch_instance = [ name_of_instance ] ( inout_terminal , inout_terminal ) pass_enable_switch_instance = [ name_of_instance ] ( inout_terminal , inout_terminal , enable_terminal ) pull_gate_instance = [ name_of_instance ] ( output_terminal ) A.3.2 Primitive strengths pulldown_strength = ( strength0 , strength1 ) | ( strength1 , strength0 ) | ( strength0 ) pullup_strength = ( strength0 , strength1 ) | ( strength1 , strength0 ) | ( strength1 ) A.3.3 Primitive terminals enable_terminal = expression inout_terminal = net_lvalue input_terminal = expression ncontrol_terminal = expression output_terminal = net_lvalue pcontrol_terminal = expression A.3.4 Primitive gate and switch types cmos_switchtype = cmos | rcmos enable_gatetype = bufif0 | bufif1 | notif0 | notif1 mos_switchtype = nmos | pmos | rnmos | rpmos n_input_gatetype = and | nand | or | nor | xor | xnor n_output_gatetype = buf | not pass_en_switchtype = tranif0 | tranif1 | rtranif1 | rtranif0 pass_switchtype = tran | rtran A.4 Module, interface and generated instantiation A.4.1 Instantiation A.4.1.1 Module instantiation module_instantiation = module_identifier [ parameter_value_assignment ] hierarchical_instance { , hierarchical_instance } ; parameter_value_assignment = # ( [ list_of_parameter_assignments ] ) list_of_parameter_assignments = ordered_parameter_assignment { , ordered_parameter_assignment } | named_parameter_assignment { , named_parameter_assignment } ordered_parameter_assignment = param_expression named_parameter_assignment = . parameter_identifier ( [ param_expression ] ) hierarchical_instance = name_of_instance ( [ list_of_port_connections ] ) name_of_instance = instance_identifier { unpacked_dimension } list_of_port_connections16 = ordered_port_connection { , ordered_port_connection } | named_port_connection { , named_port_connection } ordered_port_connection = { attribute_instance } [ expression ] named_port_connection = { attribute_instance } . port_identifier [ ( [ expression ] ) ] | { attribute_instance } .* A.4.1.2 Interface instantiation interface_instantiation = interface_identifier [ parameter_value_assignment ] hierarchical_instance { , hierarchical_instance } ; A.4.1.3 Program instantiation program_instantiation = program_identifier [ parameter_value_assignment ] hierarchical_instance { , hierarchical_instance } ; A.4.2 Generated instantiation module_or_interface_or_generate_item31 = module_or_generate_item | interface_or_generate_item generate_region = generate { module_or_interface_or_generate_item } endgenerate loop_generate_construct = for ( genvar_initialization ; genvar_expression ; genvar_iteration ) generate_block genvar_initialization = [ genvar ] genvar_identifier = constant_expression genvar_iteration = genvar_identifier assignment_operator genvar_expression | inc_or_dec_operator genvar_identifier | genvar_identifier inc_or_dec_operator conditional_generate_construct = if_generate_construct | case_generate_construct if_generate_construct = if ( constant_expression ) generate_block_or_null [ else generate_block_or_null ] case_generate_construct = case ( constant_expression ) case_generate_item { case_generate_item } endcase case_generate_item = constant_expression { , constant_expression } generate_block_or_null | default [ ] generate_block_or_null generate_block = module_or_interface_or_generate_item | [ generate_block_identifier ] begin [ generate_block_identifier ] { module_or_interface_or_generate_item } end [ generate_block_identifier ] generate_block_or_null = generate_block | ; A.5 UDP declaration and instantiation A.5.1 UDP declaration udp_nonansi_declaration = { attribute_instance } primitive udp_identifier ( udp_port_list ) ; udp_ansi_declaration = { attribute_instance } primitive udp_identifier ( udp_declaration_port_list ) ; udp_declaration = udp_nonansi_declaration udp_port_declaration { udp_port_declaration } udp_body endprimitive [ udp_identifier ] | udp_ansi_declaration udp_body endprimitive [ udp_identifier ] | extern udp_nonansi_declaration | extern udp_ansi_declaration | { attribute_instance } primitive udp_identifier ( .* ) ; { udp_port_declaration } udp_body endprimitive [ udp_identifier ] A.5.2 UDP ports udp_port_list = output_port_identifier , input_port_identifier { , input_port_identifier } udp_declaration_port_list = udp_output_declaration , udp_input_declaration { , udp_input_declaration } udp_port_declaration = udp_output_declaration ; | udp_input_declaration ; | udp_reg_declaration ; udp_output_declaration = { attribute_instance } output port_identifier | { attribute_instance } output reg port_identifier [ = constant_expression ] udp_input_declaration = { attribute_instance } input list_of_udp_port_identifiers udp_reg_declaration = { attribute_instance } reg variable_identifier A.5.3 UDP body udp_body = combinational_body | sequential_body combinational_body = table combinational_entry { combinational_entry } endtable combinational_entry = level_input_list output_symbol ; sequential_body = [ udp_initial_statement ] table sequential_entry { sequential_entry } endtable udp_initial_statement = initial output_port_identifier = init_val ; init_val = 1’b0 | 1’b1 | 1’bx | 1’bX | 1’B0 | 1’B1 | 1’Bx | 1’BX | 1 | 0 sequential_entry = seq_input_list current_state next_state ; seq_input_list = level_input_list | edge_input_list level_input_list = level_symbol { level_symbol } edge_input_list = { level_symbol } edge_indicator { level_symbol } edge_indicator = ( level_symbol level_symbol ) | edge_symbol current_state = level_symbol next_state = output_symbol | - output_symbol = 0 | 1 | x | X level_symbol = 0 | 1 | x | X | ? | b | B edge_symbol = r | R | f | F | p | P | n | N | * A.5.4 UDP instantiation udp_instantiation = udp_identifier [ drive_strength ] [ delay2 ] udp_instance { , udp_instance } ; udp_instance = [ name_of_instance ] ( output_terminal , input_terminal { , input_terminal } ) A.6 Behavioral statements A.6.1 Continuous assignment and net alias statements continuous_assign = assign [ drive_strength ] [ delay3 ] list_of_net_assignments ; | assign [ delay_control ] list_of_variable_assignments ; list_of_net_assignments = net_assignment { , net_assignment } list_of_variable_assignments = variable_assignment { , variable_assignment } net_alias = alias net_lvalue = net_lvalue { = net_lvalue } ; net_assignment = net_lvalue = expression A.6.2 Procedural blocks and assignments initial_construct = initial statement_or_null always_construct = always_keyword statement always_keyword = always | always_comb | always_latch | always_ff final_construct = final function_statement blocking_assignment = variable_lvalue = delay_or_event_control expression | hierarchical_dynamic_array_variable_identifier = dynamic_array_new | [ implicit_class_handle . | class_scope | package_scope ] hierarchical_variable_identifier select = class_new | operator_assignment operator_assignment = variable_lvalue assignment_operator expression assignment_operator = = | += | -= | *= | /= | %= | = | |= | ^= | = | = | = | = nonblocking_assignment = variable_lvalue = [ delay_or_event_control ] expression procedural_continuous_assignment = assign variable_assignment | deassign variable_lvalue | force variable_assignment | force net_assignment | release variable_lvalue | release net_lvalue variable_assignment = variable_lvalue = expression A.6.3 Parallel and sequential blocks action_block = statement_or_null | [ statement ] else statement_or_null seq_block = begin [ block_identifier ] { block_item_declaration } { statement_or_null } end [ block_identifier ] par_block = fork [ block_identifier ] { block_item_declaration } { statement_or_null } join_keyword [ block_identifier ] join_keyword = join | join_any | join_none A.6.4 Statements statement_or_null = statement | { attribute_instance } ; statement = [ block_identifier ] { attribute_instance } statement_item statement_item = blocking_assignment ; | nonblocking_assignment ; | procedural_continuous_assignment ; | case_statement | conditional_statement | inc_or_dec_expression ; | subroutine_call_statement | disable_statement | event_trigger | loop_statement | jump_statement | par_block | procedural_timing_control_statement | seq_block | wait_statement | procedural_assertion_statement | clocking_drive ; | randsequence_statement | randcase_statement | expect_property_statement function_statement = statement function_statement_or_null = function_statement | { attribute_instance } ; variable_identifier_list = variable_identifier { , variable_identifier } A.6.5 Timing control statements procedural_timing_control_statement = procedural_timing_control statement_or_null delay_or_event_control = delay_control | event_control | repeat ( expression ) event_control delay_control = # delay_value | # ( mintypmax_expression ) event_control = @ hierarchical_event_identifier | @ ( event_expression ) | @* | @ (*) | @ sequence_instance event_expression = [ edge_identifier ] expression [ iff expression ] | sequence_instance [ iff expression ] | event_expression or event_expression | event_expression , event_expression procedural_timing_control = delay_control | event_control | cycle_delay jump_statement = return [ expression ] ; | break ; | continue ; wait_statement = wait ( expression ) statement_or_null | wait fork ; | wait_order ( hierarchical_identifier { , hierarchical_identifier } ) action_block event_trigger = - hierarchical_event_identifier ; |- [ delay_or_event_control ] hierarchical_event_identifier ; disable_statement = disable hierarchical_task_identifier ; | disable hierarchical_block_identifier ; | disable fork ; A.6.6 Conditional statements conditional_statement = if ( cond_predicate ) statement_or_null [ else statement_or_null ] | unique_priority_if_statement unique_priority_if_statement = [ unique_priority ] if ( cond_predicate ) statement_or_null { else if ( cond_predicate ) statement_or_null } [ else statement_or_null ] unique_priority = unique | priority cond_predicate = expression_or_cond_pattern { expression_or_cond_pattern } expression_or_cond_pattern = expression | cond_pattern cond_pattern = expression matches pattern A.6.7 Case statements case_statement = [ unique_priority ] case_keyword ( expression ) case_item { case_item } endcase | [ unique_priority ] case_keyword ( expression ) matches case_pattern_item { case_pattern_item } endcase | [ unique_priority ] case ( expression ) inside case_inside_item { case_inside_item } endcase case_keyword = case | casez | casex case_item = expression { , expression } statement_or_null | default [ ] statement_or_null case_pattern_item = pattern [ expression ] statement_or_null | default [ ] statement_or_null case_inside_item = open_range_list statement_or_null | default [ ] statement_or_null randcase_statement = randcase randcase_item { randcase_item } endcase randcase_item = expression statement_or_null A.6.7.1 Patterns pattern = . variable_identifier | .* | constant_expression | tagged member_identifier [ pattern ] | ’{ pattern { , pattern } } | ’{ member_identifier pattern { , member_identifier pattern } } assignment_pattern = { expression { , expression } } | { structure_pattern_key expression { , structure_pattern_key expression } } | { array_pattern_key expression { , array_pattern_key expression } } | { constant_expression { expression { , expression } } } structure_pattern_key = member_identifier | assignment_pattern_key array_pattern_key = constant_expression | assignment_pattern_key assignment_pattern_key = simple_type | default assignment_pattern_expression = [ assignment_pattern_expression_type ] assignment_pattern assignment_pattern_expression_type = ps_type_identifier | ps_parameter_identifier | integer_atom_type constant_assignment_pattern_expression35 = assignment_pattern_expression assignment_pattern_net_lvalue = { net_lvalue {, net_lvalue } } assignment_pattern_variable_lvalue = { variable_lvalue {, variable_lvalue } } A.6.8 Looping statements loop_statement = forever statement_or_null | repeat ( expression ) statement_or_null | while ( expression ) statement_or_null | for ( for_initialization ; expression ; for_step ) statement_or_null | do statement_or_null while ( expression ) ; | foreach ( array_identifier [ loop_variables ] ) statement for_initialization = list_of_variable_assignments | for_variable_declaration { , for_variable_declaration } for_variable_declaration = data_type variable_identifier = expression { , variable_identifier = expression } for_step = for_step_assignment { , for_step_assignment } for_step_assignment = operator_assignment | inc_or_dec_expression | function_subroutine_call loop_variables = [ index_variable_identifier ] { , [ index_variable_identifier ] } A.6.9 Subroutine call statements subroutine_call_statement = subroutine_call ; | void ( function_subroutine_call ) ; A.6.10 Assertion statements procedural_assertion_statement = concurrent_assertion_statement | immediate_assert_statement immediate_assert_statement = assert ( expression ) action_block A.6.11 Clocking block clocking_declaration = [ default ] clocking [ clocking_identifier ] clocking_event ; { clocking_item } endclocking [ clocking_identifier ] clocking_event = @ identifier | @ ( event_expression ) clocking_item = default default_skew ; | clocking_direction list_of_clocking_decl_assign ; | { attribute_instance } concurrent_assertion_item_declaration default_skew = input clocking_skew | output clocking_skew | input clocking_skew output clocking_skew clocking_direction = input [ clocking_skew ] | output [ clocking_skew ] | input [ clocking_skew ] output [ clocking_skew ] | inout list_of_clocking_decl_assign = clocking_decl_assign { , clocking_decl_assign } clocking_decl_assign = signal_identifier [ = expression ] clocking_skew = edge_identifier [ delay_control ] | delay_control clocking_drive = clockvar_expression = [ cycle_delay ] expression | cycle_delay clockvar_expression = expression cycle_delay = ## integral_number | ## identifier | ## ( expression ) clockvar = hierarchical_identifier clockvar_expression = clockvar select A.6.12 Randsequence randsequence_statement = randsequence ( [ production_identifier ] ) production { production } endsequence production = [ function_data_type ] production_identifier [ ( tf_port_list ) ] rs_rule { | rs_rule } ; rs_rule = rs_production_list [ = weight_specification [ rs_code_block ] ] rs_production_list = rs_prod { rs_prod } | rand join [ ( expression ) ] production_item production_item { production_item } weight_specification = integral_number | ps_identifier | ( expression ) rs_code_block = { { data_declaration } { statement_or_null } } rs_prod = production_item | rs_code_block | rs_if_else | rs_repeat | rs_case production_item = production_identifier [ ( list_of_arguments ) ] rs_if_else = if ( expression ) production_item [ else production_item ] rs_repeat = repeat ( expression ) production_item rs_case = case ( expression ) rs_case_item { rs_case_item } endcase rs_case_item = expression { , expression } production_item ; | default [ ] production_item ; A.7 Specify section A.7.1 Specify block declaration specify_block = specify { specify_item } endspecify specify_item = specparam_declaration | pulsestyle_declaration | showcancelled_declaration | path_declaration | system_timing_check pulsestyle_declaration = pulsestyle_onevent list_of_path_outputs ; | pulsestyle_ondetect list_of_path_outputs ; showcancelled_declaration = showcancelled list_of_path_outputs ; | noshowcancelled list_of_path_outputs ; A.7.2 Specify path declarations path_declaration = simple_path_declaration ; | edge_sensitive_path_declaration ; | state_dependent_path_declaration ; simple_path_declaration = parallel_path_description = path_delay_value | full_path_description = path_delay_value parallel_path_description = ( specify_input_terminal_descriptor [ polarity_operator ] = specify_output_terminal_descriptor ) full_path_description = ( list_of_path_inputs [ polarity_operator ] * list_of_path_outputs ) list_of_path_inputs = specify_input_terminal_descriptor { , specify_input_terminal_descriptor } list_of_path_outputs = specify_output_terminal_descriptor { , specify_output_terminal_descriptor } A.7.3 Specify block terminals specify_input_terminal_descriptor = input_identifier [ [ constant_range_expression ] ] specify_output_terminal_descriptor = output_identifier [ [ constant_range_expression ] ] input_identifier = input_port_identifier | inout_port_identifier | interface_identifier.port_identifier output_identifier = output_port_identifier | inout_port_identifier | interface_identifier.port_identifier A.7.4 Specify path delays path_delay_value = list_of_path_delay_expressions | ( list_of_path_delay_expressions ) list_of_path_delay_expressions = t_path_delay_expression | trise_path_delay_expression , tfall_path_delay_expression | trise_path_delay_expression , tfall_path_delay_expression , tz_path_delay_expression | t01_path_delay_expression , t10_path_delay_expression , t0z_path_delay_expression , tz1_path_delay_expression , t1z_path_delay_expression , tz0_path_delay_expression | t01_path_delay_expression , t10_path_delay_expression , t0z_path_delay_expression , tz1_path_delay_expression , t1z_path_delay_expression , tz0_path_delay_expression , t0x_path_delay_expression , tx1_path_delay_expression , t1x_path_delay_expression , tx0_path_delay_expression , txz_path_delay_expression , tzx_path_delay_expression t_path_delay_expression = path_delay_expression trise_path_delay_expression = path_delay_expression tfall_path_delay_expression = path_delay_expression tz_path_delay_expression = path_delay_expression t01_path_delay_expression = path_delay_expression t10_path_delay_expression = path_delay_expression t0z_path_delay_expression = path_delay_expression tz1_path_delay_expression = path_delay_expression t1z_path_delay_expression = path_delay_expression tz0_path_delay_expression = path_delay_expression t0x_path_delay_expression = path_delay_expression tx1_path_delay_expression = path_delay_expression t1x_path_delay_expression = path_delay_expression tx0_path_delay_expression = path_delay_expression txz_path_delay_expression = path_delay_expression tzx_path_delay_expression = path_delay_expression path_delay_expression = constant_mintypmax_expression edge_sensitive_path_declaration = parallel_edge_sensitive_path_description = path_delay_value | full_edge_sensitive_path_description = path_delay_value parallel_edge_sensitive_path_description = ( [ edge_identifier ] specify_input_terminal_descriptor = ( specify_output_terminal_descriptor [ polarity_operator ] data_source_expression ) ) full_edge_sensitive_path_description = ( [ edge_identifier ] list_of_path_inputs * ( list_of_path_outputs [ polarity_operator ] data_source_expression ) ) data_source_expression = expression edge_identifier = posedge | negedge state_dependent_path_declaration = if ( module_path_expression ) simple_path_declaration | if ( module_path_expression ) edge_sensitive_path_declaration | ifnone simple_path_declaration polarity_operator = + | - A.7.5 System timing checks A.7.5.1 System timing check commands system_timing_check = $setup_timing_check | $hold_timing_check | $setuphold_timing_check | $recovery_timing_check | $removal_timing_check | $recrem_timing_check | $skew_timing_check | $timeskew_timing_check | $fullskew_timing_check | $period_timing_check | $width_timing_check | $nochange_timing_check $setup_timing_check = $setup ( data_event , reference_event , timing_check_limit [ , [ notifier ] ] ) ; $hold_timing_check = $hold ( reference_event , data_event , timing_check_limit [ , [ notifier ] ] ) ; $setuphold_timing_check = $setuphold ( reference_event , data_event , timing_check_limit , timing_check_limit [ , [ notifier ] [ , [ stamptime_condition ] [ , [ checktime_condition ] [ , [ delayed_reference ] [ , [ delayed_data ] ] ] ] ] ] ) ; $recovery_timing_check = $recovery ( reference_event , data_event , timing_check_limit [ , [ notifier ] ] ) ; $removal_timing_check = $removal ( reference_event , data_event , timing_check_limit [ , [ notifier ] ] ) ; $recrem_timing_check = $recrem ( reference_event , data_event , timing_check_limit , timing_check_limit [ , [ notifier ] [ , [ stamptime_condition ] [ , [ checktime_condition ] [ , [ delayed_reference ] [ , [ delayed_data ] ] ] ] ] ] ) ; $skew_timing_check = $skew ( reference_event , data_event , timing_check_limit [ , [ notifier ] ] ) ; $timeskew_timing_check = $timeskew ( reference_event , data_event , timing_check_limit [ , [ notifier ] [ , [ event_based_flag ] [ , [ remain_active_flag ] ] ] ] ) ; $fullskew_timing_check = $fullskew ( reference_event , data_event , timing_check_limit , timing_check_limit [ , [ notifier ] [ , [ event_based_flag ] [ , [ remain_active_flag ] ] ] ] ) ; $period_timing_check = $period ( controlled_reference_event , timing_check_limit [ , [ notifier ] ] ) ; $width_timing_check = $width ( controlled_reference_event , timing_check_limit , threshold [ , [ notifier ] ] ) ; $nochange_timing_check = $nochange ( reference_event , data_event , start_edge_offset , end_edge_offset [ , [ notifier ] ] ) ; A.7.5.2 System timing check command arguments checktime_condition = mintypmax_expression controlled_reference_event = controlled_timing_check_event data_event = timing_check_event delayed_data = terminal_identifier | terminal_identifier [ constant_mintypmax_expression ] delayed_reference = terminal_identifier | terminal_identifier [ constant_mintypmax_expression ] end_edge_offset = mintypmax_expression event_based_flag = constant_expression notifier = variable_identifier reference_event = timing_check_event remain_active_flag = constant_mintypmax_expression stamptime_condition = mintypmax_expression start_edge_offset = mintypmax_expression threshold =constant_expression timing_check_limit = expression A.7.5.3 System timing check event definitions timing_check_event = [timing_check_event_control] specify_terminal_descriptor [ timing_check_condition ] controlled_timing_check_event = timing_check_event_control specify_terminal_descriptor [ timing_check_condition ] timing_check_event_control = posedge | negedge | edge_control_specifier specify_terminal_descriptor = specify_input_terminal_descriptor | specify_output_terminal_descriptor edge_control_specifier = edge [ edge_descriptor { , edge_descriptor } ] edge_descriptor1 = 01 | 10 | z_or_x zero_or_one | zero_or_one z_or_x zero_or_one = 0 | 1 z_or_x = x | X | z | Z timing_check_condition = scalar_timing_check_condition | ( scalar_timing_check_condition ) scalar_timing_check_condition = expression | ~ expression | expression == scalar_constant | expression === scalar_constant | expression != scalar_constant | expression !== scalar_constant scalar_constant = 1’b0 | 1’b1 | 1’B0 | 1’B1 | ’b0 | ’b1 | ’B0 | ’B1 | 1 | 0 A.8 Expressions A.8.1 Concatenations concatenation = { expression { , expression } } constant_concatenation = { constant_expression { , constant_expression } } constant_multiple_concatenation = { constant_expression constant_concatenation } module_path_concatenation = { module_path_expression { , module_path_expression } } module_path_multiple_concatenation = { constant_expression module_path_concatenation } multiple_concatenation = { expression concatenation }18 streaming_concatenation = { stream_operator [ slice_size ] stream_concatenation } stream_operator = | slice_size = simple_type | constant_expression stream_concatenation = { stream_expression { , stream_expression } } stream_expression = expression [ with [ array_range_expression ] ] array_range_expression = expression | expression expression | expression + expression | expression - expression empty_queue21 = { } A.8.2 Subroutine calls constant_function_call = function_subroutine_call24 tf_call36 = ps_or_hierarchical_tf_identifier { attribute_instance } [ ( list_of_arguments ) ] system_tf_call = system_tf_identifier [ ( list_of_arguments ) ] | system_tf_identifier ( data_type [ , expression ] ) subroutine_call = tf_call | system_tf_call | method_call | randomize_call function_subroutine_call = subroutine_call list_of_arguments = [ expression ] { , [ expression ] } { , . identifier ( [ expression ] ) } | . identifier ( [ expression ] ) { , . identifier ( [ expression ] ) } method_call = method_call_root . method_call_body method_call_body = method_identifier { attribute_instance } [ ( list_of_arguments ) ] | built_in_method_call built_in_method_call = array_manipulation_call | randomize_call array_manipulation_call = array_method_name { attribute_instance } [ ( list_of_arguments ) ] [ with ( expression ) ] randomize_call = randomize { attribute_instance } [ ( [ variable_identifier_list | null ] ) ] [ with constraint_block ] method_call_root = expression | implicit_class_handle array_method_name = method_identifier | unique | and | or | xor A.8.3 Expressions inc_or_dec_expression = inc_or_dec_operator { attribute_instance } variable_lvalue | variable_lvalue { attribute_instance } inc_or_dec_operator conditional_expression = cond_predicate ? { attribute_instance } expression expression constant_expression = constant_primary | unary_operator { attribute_instance } constant_primary | constant_expression binary_operator { attribute_instance } constant_expression | constant_expression ? { attribute_instance } constant_expression constant_expression constant_mintypmax_expression = constant_expression | constant_expression constant_expression constant_expression constant_param_expression = constant_mintypmax_expression | data_type | $ param_expression = mintypmax_expression | data_type constant_range_expression = constant_expression | constant_part_select_range constant_part_select_range = constant_range | constant_indexed_range constant_range = constant_expression constant_expression constant_indexed_range = constant_expression + constant_expression | constant_expression - constant_expression expression = primary | unary_operator { attribute_instance } primary | inc_or_dec_expression | ( operator_assignment ) | expression binary_operator { attribute_instance } expression | conditional_expression | inside_expression | tagged_union_expression tagged_union_expression = tagged member_identifier [ expression ] inside_expression = expression inside { open_range_list } value_range = expression | [ expression expression ] mintypmax_expression = expression | expression expression expression module_path_conditional_expression = module_path_expression ? { attribute_instance } module_path_expression module_path_expression module_path_expression = module_path_primary | unary_module_path_operator { attribute_instance } module_path_primary | module_path_expression binary_module_path_operator { attribute_instance } module_path_expression | module_path_conditional_expression module_path_mintypmax_expression = module_path_expression | module_path_expression module_path_expression module_path_expression part_select_range = constant_range | indexed_range indexed_range = expression + constant_expression | expression - constant_expression genvar_expression = constant_expression A.8.4 Primaries constant_primary = primary_literal | ps_parameter_identifier constant_select | specparam_identifier [ constant_range_expression ] | genvar_identifier32 | [ package_scope | class_scope ] enum_identifier | constant_concatenation | constant_multiple_concatenation | constant_function_call | ( constant_mintypmax_expression ) | constant_cast | constant_assignment_pattern_expression | type_reference29 module_path_primary = number | identifier | module_path_concatenation | module_path_multiple_concatenation | function_subroutine_call | ( module_path_mintypmax_expression ) primary = primary_literal | [ implicit_class_handle . | class_scope | package_scope ] hierarchical_identifier select | empty_queue | concatenation | multiple_concatenation | function_subroutine_call | ( mintypmax_expression ) | cast | assignment_pattern_expression | streaming_concatenation | sequence_method_call | this6 | $22 | null time_literal5 = unsigned_number time_unit | fixed_point_number time_unit time_unit = s | ms | us | ns | ps | fs | step implicit_class_handle6 = this | super | this . super bit_select = { [ expression ] } select = [ { . member_identifier bit_select } . member_identifier ] bit_select [ [ part_select_range ] ] constant_bit_select = { [ constant_expression ] } constant_select = [ { . member_identifier constant_bit_select } . member_identifier ] constant_bit_select [ [ constant_part_select_range ] ] primary_literal = number | time_literal | unbased_unsized_literal | string_literal constant_cast = casting_type ( constant_expression ) cast = casting_type ( expression ) A.8.5 Expression left-side values net_lvalue = ps_or_hierarchical_net_identifier constant_select | { net_lvalue { , net_lvalue } } | [ assignment_pattern_expression_type ] assignment_pattern_net_lvalue variable_lvalue = [ implicit_class_handle . | package_scope ] hierarchical_variable_identifier select | { variable_lvalue { , variable_lvalue } } | [ assignment_pattern_expression_type ] assignment_pattern_variable_lvalue | streaming_concatenation30 A.8.6 Operators unary_operator = + | - | ! | ~ | | ~ | | | ~| | ^ | ~^ | ^~ binary_operator = + | - | * | / | % | == | != | === | !== | ==? | !=? | | || | ** | | = | | = | | | | ^ | ^~ | ~^ | | | | inc_or_dec_operator = ++ | -- unary_module_path_operator = ! | ~ | | ~ | | | ~| | ^ | ~^ | ^~ binary_module_path_operator = == | != | | || | | | | ^ | ^~ | ~^ A.8.7 Numbers number = integral_number | real_number integral_number = decimal_number | octal_number | binary_number | hex_number decimal_number = unsigned_number | [ size ] decimal_base unsigned_number | [ size ] decimal_base x_digit { _ } | [ size ] decimal_base z_digit { _ } binary_number = [ size ] binary_base binary_value octal_number = [ size ] octal_base octal_value hex_number = [ size ] hex_base hex_value sign = + | - size = non_zero_unsigned_number non_zero_unsigned_number1 = non_zero_decimal_digit { _ | decimal_digit} real_number1 = fixed_point_number | unsigned_number [ . unsigned_number ] exp [ sign ] unsigned_number fixed_point_number1 = unsigned_number . unsigned_number exp = e | E unsigned_number1 = decimal_digit { _ | decimal_digit } binary_value1 = binary_digit { _ | binary_digit } octal_value1 = octal_digit { _ | octal_digit } hex_value1 = hex_digit { _ | hex_digit } decimal_base1 = ’[s|S]d | ’[s|S]D binary_base1 = ’[s|S]b | ’[s|S]B octal_base1 = ’[s|S]o | ’[s|S]O hex_base1 = ’[s|S]h | ’[s|S]H non_zero_decimal_digit = 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 decimal_digit = 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 binary_digit = x_digit | z_digit | 0 | 1 octal_digit = x_digit | z_digit | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 hex_digit = x_digit | z_digit | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | a | b | c | d | e | f | A | B | C | D | E | F x_digit = x | X z_digit = z | Z | ? unbased_unsized_literal = 0 | 1 | z_or_x 10 A.8.8 Strings string_literal = " { Any_ASCII_Characters } " A.9 General A.9.1 Attributes attribute_instance = (* attr_spec { , attr_spec } *) attr_spec = attr_name [ = constant_expression ] attr_name = identifier A.9.2 Comments comment = one_line_comment | block_comment one_line_comment = // comment_text \n block_comment = /* comment_text */ comment_text = { Any_ASCII_character } A.9.3 Identifiers array_identifier = identifier block_identifier = identifier bin_identifier = identifier c_identifier2 = [ a-zA-Z_ ] { [ a-zA-Z0-9_ ] } cell_identifier = identifier class_identifier = identifier class_variable_identifier = variable_identifier clocking_identifier = identifier config_identifier = identifier const_identifier = identifier constraint_identifier = identifier covergroup_identifier = identifier covergroup_variable_identifier = variable_identifier cover_point_identifier = identifier dynamic_array_variable_identifier = variable_identifier enum_identifier = identifier escaped_identifier = \ {any_ASCII_character_except_white_space} white_space formal_identifier = identifier function_identifier = identifier generate_block_identifier = identifier genvar_identifier = identifier hierarchical_block_identifier = hierarchical_identifier hierarchical_dynamic_array_variable_identifier = hierarchical_variable_identifier hierarchical_event_identifier = hierarchical_identifier hierarchical_identifier = [ $root . ] { identifier constant_bit_select . } identifier hierarchical_net_identifier = hierarchical_identifier hierarchical_parameter_identifier = hierarchical_identifier hierarchical_task_identifier = hierarchical_identifier hierarchical_tf_identifier = hierarchical_identifier hierarchical_variable_identifier = hierarchical_identifier identifier = simple_identifier | escaped_identifier index_variable_identifier = identifier interface_identifier = identifier interface_instance_identifier = identifier inout_port_identifier = identifier input_port_identifier = identifier instance_identifier = identifier library_identifier = identifier member_identifier = identifier method_identifier = identifier modport_identifier = identifier module_identifier = identifier net_identifier = identifier output_port_identifier = identifier package_identifier = identifier package_scope = package_identifier | $unit parameter_identifier = identifier port_identifier = identifier production_identifier = identifier program_identifier = identifier property_identifier = identifier ps_class_identifier = [ package_scope ] class_identifier ps_covergroup_identifier = [ package_scope ] covergroup_identifier ps_identifier = [ package_scope ] identifier ps_or_hierarchical_net_identifier = [ package_scope ] net_identifier | hierarchical_net_identifier ps_or_hierarchical_tf_identifier = [ package_scope ] tf_identifier | hierarchical_tf_identifier ps_parameter_identifier = [ package_scope ] parameter_identifier | { generate_block_identifier [ [ constant_expression ] ] . } parameter_identifier ps_property_identifier = [ package_scope ] property_identifier ps_sequence_identifier = [ package_scope ] sequence_identifier ps_type_identifier = [ package_scope ] type_identifier sequence_identifier = identifier signal_identifier = identifier simple_identifier2 = [ a-zA-Z_ ] { [ a-zA-Z0-9_$ ] } specparam_identifier = identifier system_tf_identifier3 = $[ a-zA-Z0-9_$ ]{ [ a-zA-Z0-9_$ ] } task_identifier = identifier tf_identifier = identifier terminal_identifier = identifier topmodule_identifier = identifier type_identifier = identifier udp_identifier = identifier variable_identifier = identifier A.9.4 White space white_space = space | tab | newline | eof4
https://w.atwiki.jp/utauuuta/pages/2687.html
【登録タグ V とまと ルーク 曲 朝音ボウ 松田っぽいよ 楓歌コト 欲音ルコ 汐日 穂歌ソラ 空音ユイ 空音ラナ 轟栄一 重音テッド 重音テト 鏡音リン 鏡音レン 雪歌ユフ 餡知モン】 作詞:汐日 作曲:汐日 編曲:汐日 調声:とまと 唄:鏡音リン、鏡音レン Main1:朝音ボウ、楓歌コト、重音テッド Main2:重音テト、空音ラナ Chorus1:空音ユイ、雪歌ユフ、松田っぽいよ、轟栄一 Chorus2:欲音ルコ、穂歌ソラ、餡知モン、ルーク 曲紹介 「最期の日よ、超えてゆけ」 RPGのバトルBGMのような、ファンタジックなミュージカルのような。勢いのある民族調楽曲 歌詞 (ホームページより転載) ラ shueシュ veerviウィラビ Chaツァ larerrラリララ [乙女よ、私たちは海を愛します] Leレ zahザ reerviリラリ Chaツァ varelooヴァリロウォ [舞姫よ、私たちは海を讃えます] Liリ shueシュ reerviリラヴィ Voヴォ jarerrヤレラ [女神よ、私たちは叫びます] Tewoトゥロ rieeyaリレヤ farastimoファシティラリ varヴァ elowリロロ [新たな調和の完成に捧げ] Naナ taliタリ zaliザリ Chaツァ vodirrヴォディラヤ [少年よ、私たちは海に祈ります] Neネ raliラリ vidviヴィダヴィ Chaツァ vornorウォラノロ [演者よ、私たちは海を畏れます] Niニ daダ muzelミュゼリ Vo lowウォロ aeraアエラ [海神よ、私たちは手を取ります] Retsunリチュ iニ nattaナッタ fojnninフォヤニナ a ssoッソ [碧の世を創り上げるため] 指先踊る音の色に つま先を立てていたのは 誰、誰、誰? 届かぬ未来さきを 怨んでも 吐きだす夢は沫のよう 果てゆく場所を 求めるだけの旅なら いっそ一度きりの 刃を振りかざし 今 突き立てて 耳をすませ 物語の産声に 歴史の朝に 灯のやさしさに ニイルの水 浴びて薫る 最期せつなの日よ 超えてゆけ La shue veervi Cha larerr [乙女よ、私たちは海を愛します] Le zah reerve Cha vareloo [舞姫よ、私たちは海を讃えます] Li shue reervi Vo jarerr [女神よ、私たちは叫びます] Tewo rieeya farastimo var elow [新たな調和の完成に捧げ] Na tali zali Cha vadirr [少年よ、私たちは海に祈ります] Ne rali vidvi Cha vornor [演者よ、私たちは海を畏れます] Ni da muzel Vo low aera [海神よ、私たちは手を取ります] Retsun i natta fojnnin a [碧の世を創り上げるため] <太陽VOCALOID> Enエン jaジャ navimナビナ voy^aeヴォヤァ hanneヘーネ [己の道の正しきを信じ] Nahナー idaidaイダニダ donnaドゥナ holoホロ nisso!ニッソ [何にも属さぬ風衣を纏え!] Yauユー niニ noidaノイダ walpワルプ nonaノーダ [真白き地図をなぞり] Heliiヘルイ ja^daニャーダ hasniハスニ hamハン da!ナ [新たな紋様をこの地に刻め!] <月UTAU> Ja!ヤ ssoッソ sonniソンヒ helalaヘララ rapidoラピド [たとえ地の底に堕ちようと] Neenuニーニ summhiスムヒ hamdaハンダ [幾度でも這い上がり] Whieウェー moteehiムティニ nohノン naナ [光をも噛み切る牙を剥け!] <海MUSIC> Ondオン oド niニ seteセティ jaヤ [荒波を見据え] Hanyeハイェ oオ niニ mahtoマント naナ [凪に眠り] Vaバ tuleトゥル soソ nippoニッポ [黄金を勝ち取る眼を] Waideウォ イデ rogi!ロギ [今 解き放て!] <全員> Veerviウィーラヴィ equiyeeエクイイェー [私たちは奏でよう] Neenuニイニ jaヤー reerviリラヴィ Voウォ jarerrヤレラ [何度でも 声を上げよう] 息を紡げ、喉を千切れ 海の下 永遠とわが始まる 月日ときが融けあう 二つの影 重ねて啼く 碧あおき唄 還り咲け は に 先 の そ コメント 1コメゲット!もっと伸びろこの良曲 -- iroha (2014-08-18 21 27 53) 名曲だよ神曲だよ -- 村娘1 (2016-07-23 18 33 02) いい曲!!ちなみに私はラナ・ユイが好きです -- 名無しさん (2023-08-27 10 49 13) 名前 コメント
https://w.atwiki.jp/oper/pages/2934.html
<<第3幕>> <第1場> (ポッペアの部屋、正面にドア、両側にもそれぞれドアがある ポッペア独り) Recitativo 【ポッペア】 私は愛するオットーネを瀬戸際まで追い詰めた でも策略を練って 今日は復讐を心の中に 閉じ込めたわ、私を騙したあの女を騙すために <第2場> (オットーネとポッペア) Recitativo 【オットーネ】 ああ、私のポッペア! お願いだ、 私が酷い裏切り行為を働いたなどと 思わないでくれ 邪悪な女が私を騙したんだ 私が自分の愛に関して頼み事をしたら 彼女は忠実な私の心温かい保護者であると約束したんだよ 私はわが愛だけを追う者、他はどうでも構わない だから愛する人よ、おまえに私は永遠に誠実だと誓おう 【ポッペア】 私も今まで胸に抱いたことがないほど心の底から その思いを受け入れるわ、愛する人 私達が復讐するために 一芝居打つことを考えたの 私に非があったのなら やはり私にその埋め合わせをさせて さあここに隠れて黙っているのよ 私の忠節を信じて 私の言うことなすことに気をもまないで やきもちを焼かないように 少しの間 悪しき苦痛を耐え忍ばなければいけません 他の人は酷い目に遭い あなたは満足するでしょうから (オットーネはカーテンに覆われたドアの向こうに隠れる) <第3場> (ポッペア独り) Recitativo 【ポッペア】 ここでネローネを待つわ そしてクラウディオもね この心は 居ても立ってもいられないの 酷い目に遭ったことの仕返しをしたくて <第4場> (ネローネとポッペア、隠れているオットーネ) Recitativo 【ネローネ】 息せき切ってあなたの所へ参りました、愛しいお方 わが気高い忠節の御褒美をいただくために 【ポッペア】 よく分かるわ、あなたの激しい情熱が 遅くなったからといって気持ちをたかぶらせていないことは でも、ああ神よ、私心配なの… 【ネローネ】 何がでしょう? 【ポッペア】 アグリッピーナ様がここに足を運んで、私達を見つけないかと (あたりを見る) 【ネローネ】 ここに母上が来ると? 【ポッペア】 ええ、間もなくね でもあなたに私の心の内を 分かってもらい 私からあなたへ贈る証(あかし)が どんなものか見てもらうために あそこに隠れていてほしいのよ そして彼女が立ち去るまで待っていて そうすればどんな不安からも解放されて ポッペアがどれほどあなたを愛し夢中になっているか分かるわ 【ネローネ】 もう何という甘美な喜びが 胸に湧きおこることか! 【オットーネ】 (傍白) 悪しき苦痛がさらに増すばかりだ (ネローネはカーテンに覆われたドアの向こうに隠れる その反対側にはオットーネがいる) <第5場> Recitativo 【ポッペア】 友なる天よ、私の企みに手を貸して! オットーネは憤懣やるかたないに違いない でもじっと絶えねばならないのよ 胸に愛を抱く者は 40. Aria 心おきなく愛し 楽しむことのみを 強く望む人は 自分の喜びだけを愛する! 悲しみで 少しも燃え立たない松明は 決して本物の愛の松明ではない <第6場> (レスボとクラウディオ登場、ポッペア、隠れているネローネとオットーネ) Recitativo 【レスボ】 ここには誰もおりません、陛下 愛で悶々とする心を お癒やしくださいませ (部屋に上がる) 【ポッペア】 クラウディオ、あなたは私の御機嫌を取るけれど 本当は私を愛してないんだわ 【クラウディオ】 なぜそんなことを? まだ私の愛を疑っているのか? 愛する人、私がおまえのためにしたことは知っているだろう! 【ポッペア】 話してください; あなたは何をなさったの? 前にもまして厚かましく無謀になり 私の平穏をかき乱す者がいるのよ 【クラウディオ】 もしかすると懲罰はまだ 彼の出しゃばりを抑えていないのか? 【ポッペア】 どんな懲罰でしょう? 【クラウディオ】 王座から跳ね飛ばされたのに 彼はまだそんなに慢心しているのか? 【ポッペア】 おっしゃることが分かりませんわ、陛下 彼はこれまで以上に高みに登る野望を抱いているのに 【クラウディオ】 オットーネはそんなに自信があるのか? 【ポッペア】 オットーネ? 陛下、何をおっしゃるのです? 【クラウディオ】 ああ、オットーネだ あいつは厚かましくも 無理矢理おまえの心を制しようとしているからな 【ポッペア】 オットーネですって?陛下、彼ではありません 【クラウディオ】 では誰なんだ? 【ポッペア】 ネローネです、私はネローネを悪く言ったのよ 彼は私に あなたとは決して会うなと命じたのです 【クラウディオ】 なんだと? オットーネと言ったぞ 【ポッペア】 私はネローネと言いました、陛下、お聞き違いでは 【クラウディオ】 ネローネ? 国を統治したいとの欲望や 笏(しゃく)や王座が、彼にどうふさわしいというのだ? おまえは私を騙しているな、ポッペア! 【ポッペア】 私があなたを騙しているですって? 陛下、たぶん御存じないのだわ アグリッピーナ様の野望を あなたがローマに着く前に 彼女はネローネを王座に座らせ 喝采の中 皇帝として迎えたのよ まだ私の言うことを真面目に聞かないの? 【ネローネ】 (傍白) 彼はまだ出て行かないのか、ああ! 【オットーネ】 (傍白) 辛くてたまらん 【クラウディオ】 なんと珍妙なことを言う! オットーネと言ったのではなかったか? 話せ、答えるんだ! 【ポッペア】 陛下、おそらく名前を取り違えたのでしょう ネローネとオットーネは同じような響きですから 【クラウディオ】 まさかそんなことが… 私は間抜けじゃないか 【ポッペア】 まだ疑っていらっしゃるの? 誰もが私の言い分を信じることでしょう そうしたらどうなさいますか、陛下? 【クラウディオ】 おまえの復讐をするよ 【ポッペア】 それを私に約束してくださいます? 【クラウディオ】 誓おう 【ポッペア】 大いに望むところだわ 私が嘘つきか正直が分かることでしょう (ポッペアはクラウディオを正面ドアの向こうへ連れて行き、次にネローネの所に行ってそこのカーテンを開ける) 私と一緒にこちらへ、陛下、ここでお待ちを 【ネローネ】 (傍白) クラウディオは行ったのか? 【オットーネ】 (傍白) こんなに待たされてうんざりだ! 【ポッペア】 ネローネ、どこにいるの? 【ネローネ】 ここですよ、愛する人 <第7場> (クラウディオ、ポッペア、ネローネ、隠れているオットーネ) Recitativo 【クラウディオ】 いけ図々しい奴め! 【ネローネ】 (傍白) ああ天よ、お助けを! 【クラウディオ】 よりによって王宮内で 怖いもの知らずの若造が みだらにも気高い乙女に 出過ぎた無礼を働こうというのか? 【ネローネ】 お聞きください、陛下! 【クラウディオ】 黙れ! 【ポッペア】 (傍白) 上手く行ったわ 【オットーネ】 (傍白) 喜べ、心よ! 【クラウディオ】 私の前から失せろ これ以上前にしゃしゃり出るんじゃない! (退場するネローネにポッペアが近づく) 【ポッペア】 (ネローネに) アグリッピーナ様の所に行って、こう言いなさい 【ネローネ】 (傍白) ああ!こんな酷い目に遭うとは! 【ポッペア】 (ネローネ) 騙そうとする者は 騙される…とね 【ネローネ】 (退出しながら) 皇帝の心を愚弄する者め、今に見ていろ アグリッピーナが復讐を見舞うぞ (退出) <第8場> (クラウディオとポッペア、隠れているオットーネ) Recitativo 【ポッペア】 さあクラウディオ、どうかしら? 【クラウディオ】 納得したよ 【ポッペア】 私の正直な心がこれではっきりしたわね (傍白) クラウディオから立ち去るには一芝居打たねば (クラウディオに) 今は時間がないのです、陛下 私は動揺していて楽しむどころではないの すぐにアグリッピーナ様が来るわ; ああ、どうしましょう! 【クラウディオ】 いや、彼女は来ないよ 【ポッペア】 ああもう帰って、私からは何も得られないわよ 【クラウディオ】 では永遠に私の愛は実らないのか? 【ポッペア】 まずあの手厳しい奥様を上手く抑えて 私が彼女の怒りから安全になるようにしてください そうしてから求めてくだされば、私の心は意のままに 41. Aria 【クラウディオ】 私はローマのジュピターだ もはや私と共に君臨する者はいない 他者の思惑は 私が占める王座の足元を さすらい行くだけである (退出) <第9場> (ポッペアはクラウディオが出て行ったか見て確認する) Recitativo 【ポッペア】 クラウディオは立ち去った 策略とは疑いもせずに 急いで愛する人を長い苦痛から解放しないと 42. Aria 出てきて、私の大切な人、出てきて苦悩から 私があなたの心を慰めに来たのよ! 愛する人、私はあなたを元気づけようと待っているの 急いでおいでなさい、わが愛しの人よ! (ポッペアはオットーネが隠れているカーテンを開ける) <第10場> (ポッペアとオットーネ) Recitativo 【ポッペア】 さあオットーネ、どうかしら? 見たわね、茶番にかけられたネローネや 私の心がアグリッピーナに仕返しをした様が 見たわね、私が世の統治者を足蹴にしたのを そして愛する人、あなたのためだけに私は 愛の鎖に取り巻かれ生きていることを 【オットーネ】 幸運な鎖が 私達を一緒にきつく締めつけて 永遠の結び目となったら 愛の手によって 二つの心は 一つになる 【ポッペア】 ではあなたが心から誠実であると期待していいのね? 【オットーネ】 おまえを失うくらいなら、ああ美しい人 私は千回だって死ぬだろう 【ポッペア】 そのこと、約束するわね? 【オットーネ】 約束に加えて誓いを立てよう もし嘘なら天が雷で打つだろう、愛する人 【ポッペア】 でももしクラウディオが…? 【オットーネ】 構うものか 【ポッペア】 アグリッピーナやネローネは? 【オットーネ】 奴らなど物ともせずだ 【ポッペア】 王座に惹かれる気持ちは? 【オットーネ】 おまえを胸に抱きしめることができたなら 私は全てを捨てるよ 【ポッペア】 愛しい人、あなたに私自身を贈り物として捧げます 43. Duetto 【オットーネ】 ああ、ああ、おまえ以外の誰を 素晴らしいと思うだろう、わが愛しの人よ 私を魅了するのはおまえしかいない 私の心は全ておまえのものだ 【ポッペア】 ええ、ええ、あなたは私の喜びです わが愛しの人よ あなたはこの胸で高鳴る心臓 懐に燃える炎です <第11場> (王宮の広間、アグリッピーナとネローネ) Recitativo 【アグリッピーナ】 ああ!軽率なネローネ こうなったらありったけの手を使うわ あなたを王座に着かせるために 手管でも策略でも あなたは見境もなく無謀な愛を追い回して 闇雲に突っ走るの? 【ネローネ】 私が間違っていたのは事実です でもあなたの企みや策略を もうポッペアは見抜いていますよ 行け、と彼女はこう言ったのです 「…アグリッピーナの所へ そして言うのよ 騙そうとする者は 騙される…と」 【アグリッピーナ】 だからといって 私の望みが すっかりしぼんだわけじゃないわ 息子よ、胸の中の 恥ずべき情熱など消しなさい 敵としてあのポッペアをじっと見据え あなたは皇帝になることだけを 考えていればいいのよ (退出) 44. Aria 【ネローネ】 風から逃げる雲のように 怒りの中 私はあの人を捨て去る わが胸の炎はもう消えて 心の絆も解けてしまった (退出) <第12場> (パッランテとナルチーゾ) Recitativo 【パッランテ】 こんな性悪女がいたものかね? 【ナルチーゾ】 そしてこれ以上の手厳しさを 一つ心の内に育むことができるものかね? どうしたものか、我々は? 【パッランテ】 洗いざらいクラウディオ様に話すことだ 彼は我々に友好的だ 他のどんな者よりもな 先に皇后様を告発すれば 悪いのは彼女だと 我々は弁解できるだろう 【ナルチーゾ】 こんな危機的状況だしな おまえの提案に同意するよ 【パッランテ】 おや、こちらへ陛下がおいでになるぞ 【ナルチーゾ】 友よ、今がその時だ おまえの言う口先作戦を実行したらどうだい 【パッランテ】 私がやるから任せろ おまえは手伝ってくれ <第13場> (クラウディオ登場、パッランテとナルチーゾ) Recitativo 【クラウディオ】 アグリッピーナ、ネローネ、オットーネ ポッペアが ああだこうだと咎めるので わが心の安らぎがかき乱されている 誰が真実を言い誰が嘘をついているのか分からない とはいえ 悪人には相応の制裁があってしかるべきだろう 【パッランテ】 王たる方の足元に、陛下 不運なパッランテがひれ伏しております 【ナルチーゾ】 命を守るために ナルチーゾはあなた様にお願いがございます 陛下、お助けください! 【クラウディオ】 われにかしずく者達よ、どんな罠が おまえ達に対して仕掛けられているのだ? どうなるというのだ、話してみよ! 【パッランテ】 私供は申し開きをいたしたく、 謹んで 罪を告発いたします、陛下、私供はただ アグリッピーナ様に脅されて悪事に加担したのですから 【クラウディオ】 どんな事情があったのだ? 【パッランテ】 陛下がローマに到着される前、 彼女は王座にネローネを皇帝として座らせたのです 私共の行為もそれに一役買ってしまいましたが 騙された故に行動した者に罪はございません 【ナルチーゾ】 あなた様が亡くなられたと思いこみ 私共はそのようなことをしてしまったのです 【クラウディオ】 アグリッピーナはそんな暴挙に出たのか? これでポッペアの話の裏付けがとれたぞ 王宮の内部では 身内も私の 隠れた敵なのだな それを恐れる気持ちが疑念を呼び覚ますのも当然だ そして疑念は多くの出来事の中で入り交じっている おまえ達は信頼するに足る、私のこの強権な腕が おまえ達の盾となるだろう; もう恐れるな! <第14場> (アグリッピーナ登場、前場の人々) Recitativo 【アグリッピーナ】 わが敬愛する夫君、今日こそは あなたの約束通りに事を運ばせてもらうわ 今日ネローネを月桂樹を頂く者に指名してください あなたの足元にどの敵対者もひれ伏す様が見れることよ 【クラウディオ】 それはまだだ、アグリッピーナ 【アグリッピーナ】 (傍白) ご機嫌斜めなのね (クラウディオに) 陛下、何をためらっているのです? あなたの話から およそ分かるわ 私の、そしてあなたの敵の周到な企みが 話して、話してちょうだい、包み隠さず あなたが怒っている原因は何でしょう 【クラウディオ】 それは皇帝が話すだろう: ネローネは知っている 【アグリッピーナ】 ああ!クラウディオ、今気づいたわ たとえ善い行いでも時には過失となることが 【ナルチーゾ】 (傍白) 今さら何を言う気だ? 【パッランテ】 (傍白) 彼女の釈明を聞くとしよう 【クラウディオ】 おまえは善い行いだと言うのか 厚かましくも私から帝位を奪い 私が不在の間を利用して ネローネを王座につけようとすることが? どんな言い訳をして自分を弁護できると思っている? 【アグリッピーナ】 誠実な心は言い訳など必要ないわ あなたが仰ることは、陛下、全て本当です 【クラウディオ】 過ちだと白状するのか、不敵な女め! 【アグリッピーナ】 王座を守りあなたを救ったのは過ちではありません 嬉しいことだわ、ここにナルチーゾとパッランテがいるのは 【ナルチーゾ】 (傍白) なんて肝っ玉の据わった女だ! 【パッランテ】 (傍白) なんたる不屈の心! 【アグリッピーナ】 天運により間違った噂が先に届いてしまったのです 致命的な海難事故の中 あなたも命を落としたと 早くも兵士達、民衆、そして元老院は 後継者のことに気をもみ始めました 私は見たのです、一人の自惚れ者が王座に上ったのを 目新しいことは常に好まれるので 彼はあなたが作り上げた治安の手強い敵となりました この厄難を何とかしようと 私は息子を選び出したのです 彼は王座に上りました;でもそれはただ あなたに取っておくためです、わが愛する夫よ! あなたの世を守り 王座を維持しようと務めた 私は敵でしょうか、私は反逆者でしょうか? 【パッランテ】 (傍白) どれだけずる賢いんだ、あの女? 【ナルチーゾ】 (傍白) どれだけ彼女は抜け目ないんだ! 【アグリッピーナ】 パッランテとナルチーゾは私の仕事を真面目にこなすわ この仕事を手伝うようにとあなた達に強要しなかったでしょう? どうか話して、天がクラウディオを救った知らせが届いた時 ネローネが謙虚に王座から下りなかったかどうか? 私の願い通りにしていた彼が 全ローマに クラウディオ様万歳と歓呼させなかったかどうか? おまえ達それぞれの正直な気持ちを話しておくれ! 【クラウディオ】 おまえ達、何と言う? 【ナルチーゾ、パッランテ】 陛下、全て本当です 【クラウディオ】 (傍白) アグリッピーナは私を煙に巻くのか 彼女を告発した者が彼女を弁護するとは! 【ナルチーゾ】 (傍白) 愚かな自分 (退出) 【パッランテ】 (傍白) 彼女は過ちを手柄にするんだからな (退出) 【クラウディオ】 おまえの忠誠 おまえの愛 私は確信するよ 【アグリッピーナ】 でも、ああ神よ、私は確信できないの あなたの誠実さも あなたの愛も あなたの側では私が極悪女にされていると思うわ なぜならあなたの心はそういう話を聞いていて… 【クラウディオ】 誰の? 【アグリッピーナ】 ポッペアよ ただ残念だわ、あなたには彼女の策略が分かってないことが 【クラウディオ】 それは何だ、明かしてくれ 【アグリッピーナ】 あの女、オットーネが夢中なのよ… 【クラウディオ】 アグリッピーナ、おまえは間違っている、それはネローネだ おい、すぐにオットーネ、ネローネ、ポッペアを来させろ! 多くの出来事の中で 誰が造反しているのか知ることになるだろう 私は安らぎに満ちた平穏な心で暮らしたいものだ 45. Aria 【アグリッピーナ】 もし平穏を望むなら、ああ愛すべきお方 邪悪な憎しみがあなたから逃げますように! 私を見つめてその中に、慕わしいお方 私の愛と誠実を御覧なさい <第15場> (ポッペア、オットーネ、ネローネ登場、前場の人々) Recitativo 【アグリッピーナ】 (傍白) 私の敵がお出ましだわ 【ポッペア】 (傍白) 心痛の元凶になった極悪女が 【ネローネ】 (傍白) 私は一体どうなるのか? 【オットーネ】 (傍白) 天よ、運命はいかに? 【クラウディオ】 よいか、アグリッピーナ、おまえの息子は 向こう見ずな若造で 王宮内の気高い乙女らの 貞操を犯そうとしたのだぞ 【アグリッピーナ】 違います、陛下 【クラウディオ】 いや、私は間違っていない、絶対に 彼は過ちを認めるぞ ポッペアの部屋で 隠れているおまえを私が見なかったとでも? 【アグリッピーナ】 天よ、なんですって! 【ネローネ】 (傍白) 余計なことは言うまい 【クラウディオ】 沈黙は罪を認めている表れだ おまえもそう証言するな、ポッペア、正直な心で! 【ポッペア】 陛下が彼をご覧になったのは、残念ながら本当です 【クラウディオ】 私は 明白な罪には 明白な償いが当然と思う 【アグリッピーナ】 (傍白) まだ諦めるものですか 【ポッペア】 (傍白) ああ、なんて嬉しいこと! 【クラウディオ】 ネローネとポッペアは輝かしく結ばれて 甘い結婚生活を送るように! 【ポッペア】 (傍白) 何ですって? 【アグリッピーナ】 (傍白) まさかそんなことが? 【ネローネ】 陛下、あなた様のご厚情に 頭が上がりません 【オットーネ】 ここに打ちひしがれております、ああ陛下 不幸なオットーネが! 【クラウディオ】 まあ落ち着け、おまえを罪とした私は間違っていた おまえには帝位を約束していたのだから、皇帝になるがよい 【アグリッピーナ】 (傍白) 聞いたわ、でも死なないわよ! 【オットーネ】 私は帝位をお断りします 国を統治することなどどうでもよいのです ただわが愛しいポッペアを大切にしたいのですから 私は幸運にもあなた様の命をお救いしましたが わが愛する人を奪うことで あなた様は私に死を与えるのですね 【アグリッピーナ】 これで分かるでしょう、一体誰が 邪悪な魂の持ち主なのか ネローネとオットーネのどちらが ポッペアを愛しているのかも 【クラウディオ】 ではネローネ、おまえの言い分は? 【ネローネ】 私はあなた様の思うままに従います ですが二重の罰ではありませんか、帝国を私から奪い 妻を与えるとは 【ポッペア】 私には何も言及していただけないのですか? 笏(しゃく)も王権も帝国も、ネローネのもので構わないわ 私はオットーネ以外 一切どうでもいいのです 【クラウディオ】 私はおまえ達の望みを確認しておきたい (ネローネに) もし帝位のために愛する者の顔を捨てるなら (オットーネに) もし愛のためならローマの王座などどうでもよいのなら おまえ達は後世の人々にとって それぞれ愛の英雄 統治の英雄とされるに値するだろう ネローネは皇帝となれ オットーネ、おまえは心変わりせぬポッペアを抱きしめよ! (傍白) 心の荷が下りた 彼女が他の者の恋人ならば 【ネローネ、ポッペア】 私達は幸せです 【オットーネ】 もう私は心痛に苦しむことはない 【アグリッピーナ】 (傍白) ネローネが帝位に君臨することになった今、満足して死ねるわ 46. Coro 【全員】 テブロ川よ 陽気にさざ波を立てよ 新しい月桂樹の眼差しのもとで そして岸辺で歓迎するのだ 喜びに満たされながら 愛の神を Gigue ATTO TERZO Scena Prima (Stanza di Poppea con porta in facciata e due altre per parte. Poppea sola) Recitativo POPPEA Il caro Otton al precipizio io spinsi; Ma inganno meditato la vendetta nel cor Oggi rinchiuse, per deluder colei, che mi deluse. Scena Seconda (Ottone, e Poppea entrano) Recitativo OTTONE Ah, mia Poppea! Ti prego, non mi sia di delitto Un fiero tradimento; Donna rea m ingannò, Quando a mie preci del mio amor, Di mia fede esser promise protettrice pietosa. Del mio amor son seguace, al trono curo, E a te, mio ben, eterna fede io giuro. POPPEA Ed io con quanto ho mai di core in petto, Anima mia, l accerto. Per far nostra vendetta La macchina di sposi, E s io del male fui la cagion, A me di ripararlo conviene ancora. Or qui t ascondi e taci Non temer di mia fede, Di ciò ch io dica o fascia Non ti render geloso; Soffrir devi per poco un rio tormento, Che in altrui sarà pene e in te contento. (Ottone si nasconde in una parte coperta di partiera) Scena Terza (Poppea sola) Recitativo POPPEA Attendo qui Nerone, E Claudio ancora; Quest alma impaziente già s è Resa di vendicar l offesa. Scena Quarta (Nerone e Poppea entrano. Ottone nascosto) Recitativo NERONE Anelante ti reco, oh mia diletta, A ricever mercè d alta mia fede. POPPEA Veggo ben, ch il tuo ardor Nella tardanza stimoli a te non diede, Ma, oh Dio, temo... NERONE Di che? POPPEA Che qui Agrippina porti il piede, e ci scopra. (guarda per la scena) NERONE Qui dee venir la madre? POPPEA Ed in brev ora; Ma acciò che tu comprenda I sensi del mio cor, Vedi qual prova io te me dono; Quivi vò che t asconda E attendi fin ch ella parta, E all ora sciolta d ogni timor Vedrai quanto Poppea t ama e t adora. NERONE Qual già dolce piacer Nel seno io sento! OTTONE (fra sè) Sempre più in me s accresce il rio tormento. (Nerone si nasconde in una parte coperta da portiera e di rimpetto in quella dove stà Ottone) Scena Quinta Recitativo POPPEA Amico ciel, seconda il mio disegno! Credo, ch Ottone il core avrà pieno di sdegno; Ma soffrir sempre dee, Chi ha in petto amore. 40.Aria Chi ben ama e sol brama di goder, ama solo il suo piacer! Quella face cui non piace mai dolor, non è mai d un vero amor Scena Sesta (Entra Lesbo ed Claudio, e Poppea. Nerone e Ottone nascosti) Recitativo LESBO Qui non v è alcun, Signore; La piaga ch hai nel cor sana d amore. (Sale) POPPEA Claudio, tu mi lusinghi, Però da ver non m ami. CLAUDIO Come? Dubbiosa ancora vivi dell amor mio? Cara, vedesti quel ch io feci per te! POPPEA Di; che facesti? Ognor più ardito e audace Io provo il turbator della mia pace. CLAUDIO Forse ancor insolente No ritiene il castigo? POPPEA E qual castigo? CLAUDIO E i balzato dal soglio Nutre ancor tanto orgoglio? POPPEA Non t intendo Signor, E più che mai di salirvi ha speranza. CLAUDIO E risiede in Otton tanta baldanza? POPPEA D Otton? Signor, che parli? CLAUDIO D Ottone sì, ch ardito leggi Al tuo cor impone. POPPEA Otton, Signor, non fu. CLAUDIO Ma chi? POPPEA Nerone, per Nerone esclamai, E i mi vietò di non mirarti mai. CLAUDIO Come? Ottone dicesti. POPPEA Neron dissi, Signor, mal intendesti. CLAUDIO Neron? Come s accorda il desio di regnar, Lo scettro, il soglio? Tu m inganni, oh Poppea! POPPEA Io t inganno? Signor, forse non sai, Ch il desio d Agrippina, pria che giungesti in Roma, sieder lo fe sul trono, ed acclamato Cesare fu; meco tu fingi ancora? NERONE (fra sè) E ancor non parte, oh ciel! OTTONE (fra sè) Il duol m accora. CLAUDIO Che mi narri di strano! Ma non dicesti Otton? Dimmi, rispondi! POPPEA Signore, forse prendesti con equivoco il nome, Han Nerone d Ottone in egual suono. CLAUDIO Quel ch io creda non sò, Stupido io sono. POPPEA Dubiti ancor? D ognuno del mio dir farò fede, E che farai, Signor? CLAUDIO Le tue vendette. POPPEA Ciò mi prometti? CLAUDIO Giuro. POPPEA E tanto io da te spero Vedrai se ho il cor mendace o pur sincero; (Poppea conduce Claudio destro alla porta ch è in faccia e poi và dove è Nerone ed apre la portiera.) Vieni meco, Signor, e qui t arresta. NERONE (fra sè) Claudio parti? OTTONE (fra sè) Quanto il tardar molesta! POPPEA Nerone, dove sei? NERONE Son qui, mia vita. Scena Settima (Claudio, Poppea e Nerone. Ottone nascosto) Recitativo CLAUDIO Temerario, insolente! NERONE (fra sè) Oh cieli, aita! CLAUDIO Sin nella reggia istessa baldanzoso garzon Osi impudico alle vergini eccelse Usar gl insulti e ardito? NERONE Odi, Signor! CLAUDIO Taci! POPPEA (fra sè) Contenta son. OTTONE (fra sé) Giubila, oh core! CLAUDIO Parti da mia presenza, Nè ardisci mai di comparirmi inante! (Nerone parte, e Poppea gli si accosta) POPPEA (A Nerone) Và ad Agrippina, e di. NERONE (fra sè) Ahi! Crudo fato! POPPEA (A Nerone) Che, chi cerca ingannar, resta ingannato. NERONE (nel partire) Quale ad Augusto cor empia s aspetta, Agrippina saprà far la vendetta. (Parte) Scena Ottava (Claudio ed Poppea. Ottone nascosto) Recitativo POPPEA Ora Claudio, che dici? CLAUDIO Io son convinto. POPPEA Il mio sincero cor ora discopri. (fra sè) Per togliermi da Claudio arte s adopri. (A Claudio) Or no è tempo, oh Augusto; La mia mente confusa non distingue gioire, Verrà tosto Agrippina; ahi, che martire! CLAUDIO No, non verrà. POPPEA Deh parti, nulla otterrai da me. CLAUDIO Sempre infelice sarà dunque il mio amor? POPPEA Della consorte tempra prima il rigore; Fà che sicura io sia dal suo furore; Allor chiedi, e saprai qual sia il mio core. 41. Aria CLAUDIO Io di Roma il giove sono, Nè v è già chi meco imperi. Van raminghi al piè del trono, Dov io son gl altrui pensieri. (Parte) Scena Nona (Poppea che guarda per accertarsi della partenza di Claudio) Recitativo POPPEA Claudio parti Dubbio non v è d inganno; Volo a trar il mio ben dal lungo affanno. 42. Aria Esci, o mia vita, esci dal duolo, ch a dar consolo vengo al tuo cor! Per darti vita, caro, t attendo; vieni correndo, mio dolce amor! (Poppea apre la partiera dov è stà nascosto Ottone.) Scena Decima (Poppea ed Ottone) Recitativo POPPEA Oh Ottone, che dici? Vedi come schernito restò Nerone, E come d Agrippina si vendicò il mio cor; Vedi, ch io sprezzo il regnator del mondo e per te sol, Mio bene, vivo involta d amor tra le catene. OTTONE Catene fortunate, Se e i stringono insieme, E in nodi eterni Per la mano d amore Formano di due cori Un solo core. POPPEA Sperar dunque poss io da te fede sincera? OTTONE Pria che mancarti, oh bella, Mille volte morrò. POPPEA Ciò prometti? OTTONE E unisco alle promesse il giuramento; Scogli fulmini il ciel, cara, se mento. POPPEA Ma se Claudio...? OTTONE Nol curo. POPPEA Agrippina, Neron? OTTONE Io gli disprezzo. POPPEA Lo splendore del soglio? OTTONE Pur ch io ti stringa al sen, Tutto abbandono. POPPEA A te, mio ben, offro mi stessa in dono. 43. Duetto OTTONE No, no ch io non apprezzo che te, mio dolce amor, tu sei tutti il mio vezzo, di te tutt é il mio cor. POPPEA Sì, sì, ch il mio diletto fai tu, mio caro ben, tu il cor di questo petto, l ardor di questo sen. Scena Undicesima (Salone imperiale. Agrippina, Nerone) Recitativo AGRIPPINA Ah! Mal cauto Nerone, All or ch io tutti adopro Per innalzarti al trono arti ed inganni, Tu seguace d un cieco e folle amor Al precipizio corri? NERONE È vero, errai, Ma l arti tue e gl inganni Già discopri Poppea. Vanne, ella disse "... ad Agrippina e dille, che chi cerca ingannar, resta ingannato." AGRIPPINA Non perciò tutta ancora Languisce la mia speme. Figlio, smorza nel seno La fiamma indegna; Guarda qual nemica Poppea Del tuo pensiero degno oggetto non sia Ch il solo impero. (Parte) 44. Aria NERONE Come nube che fugge dal vento, Abbandono sdegnato quel volto. Il mio foco nel seno già spento, Di quest alma già il laccio è disciolto. (Parte) Scena Dodicesima (Pallante e Narciso) Recitativo PALLANTE Evvi donna più empia? NARCISO E qual rigore nutrir si può Maggior dentro ad un core? E che farem? PALLANTE È d uopo tutto a Claudio scoprir; Egl ha per noi bontà, Ch ogn altra eccede; Si prevenga l accusa e d Augusta L error a noi sia scusa. NARCISO In così gran periglio Approvo il tuo consiglio. PALLANTE Ma qui sen vien Augusto. NARCISO Amico, è questo il tempo, Ch adopri del tuo dir l arte faconda. PALLANTE Lascia la cura a me Tu mi seconda. Scena Tredicesima (Entra Claudio, e Pallante, Narciso) Recitativo CLAUDIO Agrippina. Nerone. Otton. Poppea, nell accusa discordi Conturban la mia quiete, Nè so chi dice il ver o chi mentisca Perchè provi chi è reo giusto rigore. PALLANTE Alle tu regie piante, Signor, Ecco prostrato l infelice Pallante. NARCISO Per difender sua vita Chiede da te Narciso, Augusto, aita? CLAUDIO Miei fidi, e qual insidia Contro di voi si tenta? Che fia, scoprite! PALLANTE Umile per la nostra discolpa porgo, Signor, l accusa, perché sol D Agrippina la minaccia è ver noi d alta ruina. CLAUDIO Per qual cagion? PALLANTE Sul trono, pria che giungesti in Roma, Qual Cesare ella fè sieder Nerone Di nostr opra si valse, Ma chi opra per inganno è senza colpa. NARCISO Di tua morte il supposto È a noi discolpa. CLAUDIO Agrippina tant osa? Ora confermo ciò che disse Poppea; Entro la reggia son domestici occulti I miei nemici La tema al cor giusto sospetto infonde, E fra tante vicende e i si confonde. Voi siete fidi, il braccio mio possente Di scudo a voi sarà; non più timore! Scena Quattordicesima (Entra Agrippina, e detti) Recitativo AGRIPPINA Adorato mio sposo, è questo il giorno, In cui di tue promesse attendo il fine A Nerone l alloro oggi destina, E a tuoi piedi prostrato ogni rubel vedrai. CLAUDIO Non già, Agrippina. AGRIPPINA (fra sè) Sdegnoso mi favella. (A Claudio) Signor, che tardi più? Dal tuo dir già suppongo l arti Accorte de miei, de tuoi nemici; Parla, parla, discopri, qual dello sdegno tuo Sia la cagione. CLAUDIO Cesare lo dirà lo sa Nerone. AGRIPPINA Ah! Claudio, ora m avveggo, Ch ancora il ben oprar tal ora è colpa. NARCISO (fra sè) Or che dirà? PALLANTE (fra sè) Sentiam la sua discolpa. CLAUDIO Tu chiami ben oprar, Tentar audace d usurparmi l impero E, colto il tempo della mia lontananza, Per Nerone sul trono? Qual scusa addur potrai, che ti ricopra? AGRIPPINA Le scuse non adopra un cor sincero. Quel che dici, Signor, il tutto è vero. CLAUDIO L error confessi, ardita! AGRIPPINA L error non è il salvarti e trono e vita. Godo, che qui presenti sian Narciso e Pallante. NARCISO (fra sè) Che fermezza ha costei! PALLANTE (fra sé) Che cor costante! AGRIPPINA Per corse lode al ciel fama bugiarda, Che nel fatal naufragio tua vita ancor perisce Già le milizie, il popolo, il senato Rivolta al successor avean la mente; Viddi ch un cor altero alzato al soglio, Con quella novità che sempre piace, Formava un gran nemico alla tua pace; Per riparar al danno, acclamar feci il figlio; Egli al soglio sali; ma ciò fu solo, Per conservarlo a te, caro mio sposo! Nel diffender tua vita, per mantenerti in trono, Io la nemica, io la rubella sono? PALLANTE (fra sè) Quanto è scaltra costei? NARCISO (fra sè) Quanto ella è accorta! AGRIPPINA E Pallante e Narciso del mio oprar faccian fede; Forse voi non richiesi per assister all opra? Dite pur, se all avviso, chi l ciel Claudio salvò, Nerone umile non discese dal soglio? S egli unito a miei voti non fè di tutta Roma I viva risuonar di Claudio al nome? Parli d ognun di voi il cor sincero! CLAUDIO Voi, che dite? NARCISO, PALLANTE Signor, il tutto è vero. CLAUDIO (fra sè) Mi confonde Agrippina; da istesso accusator ella è difesa! NARCISO (fra sè) Stupido son. (Esce) PALLANTE (fra sè) Della sua colpa ha merto. (Esce) CLAUDIO Di tua fè, Del tuo amor, Cara, son certo. AGRIPPINA Ma, oh Dio, certa io non sono Nè di tua fedeltà, Nè del tuo amore. Penso che presso te fatta son rea, Perchè il tuo cor ascolta... CLAUDIO E chi? AGRIPPINA Poppea. Duolmi sol, che l inganno a te non sia palese. CLAUDIO Scoprilo pur. AGRIPPINA Costei, vagheggiata d Ottone... CLAUDIO Agrippina, t inganni, egli è Nerone. O là, vengano tosto Otton, Neron, Poppea! Fra tanti avvenimenti Saprò chi è contumace. Vo che viva nei cor riposo e pace. 45. Aria AGRIPPINA Se vuoi pace, oh volto amato, L odio reo fuga da te! Guarda in me, nume adorato, Il mio amore e la mia fè. Scena Quindicesima (Entrano Poppea, Ottone, Nerone, e detti) Recitativo AGRIPPINA (fra sè) Ecco la mia rivale. POPPEA (fra sè) Ecco quel empia cagion di doglia ria. NERONE (fra sè) Che sarà mai di me? OTTONE (fra sè) Cieli, che fia? CLAUDIO Vedi, Agrippina, il figlio, Quell ardito garzon, Che nella reggia delle vergini eccelse Tenta offender l onor. AGRIPPINA T inganni, Augusto. CLAUDIO No, no m inganno, no. L error confessa. Di Poppea nelle stanze Non ti trovai nascoso? AGRIPPINA Cieli, che sento mai? NERONE (fra sè) Parlar non oso. CLAUDIO Accusa con silenzio il suo delitto. Tu l attesta, oh Poppea, con cor sincero! POPPEA Lo vedesti, Signor, pur troppo è vero. CLAUDIO Vo , che colpa palese, Palese abbia l emenda. AGRIPPINA (fra sè) Spera ancora il mio cor. POPPEA (fra sè) Oh, quanto io goda! CLAUDIO Di Nerone e Poppea stringa dolce Imeneo L illustre nodo! POPPEA (fra sè) Che sento mai? AGRIPPINA (fra sè) Ch intendo? NERONE A tue grazie, Signor, Vinto mi rendo. OTTONE Ecco prostrato, oh Augusto, quell Ottone infelice! CLAUDIO Omai t accheta, ebbi delle tue colpe il disinganno Ti promisi l alloro, Cesare tu sarai. AGRIPPINA (fra sè) Sento e non moro! OTTONE Io l alloro rifiuto, Di regnar non mi curo, E solo apprezzo la mia cara Poppea. Se di darti la vita ebbi la sorte, Nel togliermi il mio ben Tu mi dai morte. AGRIPPINA Ora vedi, chi sia, Che ha l alma rea, S è Nerone o s è Otton Ch ama Poppea. CLAUDIO E tu, Neron, che dici? NERONE Ubbidiente io sono alle tue voglie; Ma doppio mio castigo è il togliermi l impero E darmi moglie. POPPEA E con me non si parla? Scettri, regni ed imperi abbia Nerone, D altri mai non sarò fuorchè d Ottone. CLAUDIO Io dei vostri desir volli far prova, (a Nerone) se lasci per l allor volto divino, (a Ottone) se sprezzi per amor di Roma il trono. Ai posteri sarete dell amor, del regnar eroi ben degni. Cesare fia Neron, Tu stringi, Ottone, la tua Poppea costante! (fra sè) Ho sciolto il cor, s ell è d un altro amante. NERONE, POPPEA Felice son. OTTONE Più il duol non mi tormenta. AGRIPPINA (Fra sè) Or chè regna Neron, moro contenta. 46. Coro TUTTI Lieto il Tebro increspi L onda sotto a i rai del nuovo allor, E festeggi su la sponda Pien di gioia il Dio d amor. Gigue To the extent possible under law, REIKOhas waived all copyright and related or neighboring rights to the Japanese text above. This work is published from Japan. Handel,George Frideric/Agrippina
https://w.atwiki.jp/anison-rap/pages/35.html
【曲名】 Viewtiful World 【アーティスト】 Viewtiful Joe ※ 【作詞】 【作曲】 【編曲】 【作品】 VIEWTIFUL JOE 【メディア】 GC用VFXアクションゲーム 【テーマ】 エンディングテーマ 【初出】 2003年 【備考】 CGゲームキャラたちによるバンド演奏のPVがとにかく秀逸!! ※「Viewtiful Joe」はDA PUMPのKEN,DAIの長尾大,大渡亮,ZZのSOTARO,03の古市絢子の5人による覆面バンド
https://w.atwiki.jp/kojimakk/pages/19.html
Jalview JAVAを用いた配列アラインメントエディター。JAVAを使っているのでプラットフォームに関わらず使用可能。 無料でJalview - Java alignment editor から入手できる。 インストールには、Java version 1.4以上が必要。自分のコンピュータのJavaのversionは ターミナルから java -version で確認できる。 ダウンロードページで Start with Java Web Start ボタンをクリックすると、jalview.jnlpがダウンロードされ、残りの必要なファイルも自動的にダウンロードされ、使用可能になる。 Citations Clamp, M., Cuff, J., Searle, S. M. and Barton, G. J. The Jalview Java Alignment Editor 2004. Bioinformatics, 20, 426-7
https://w.atwiki.jp/freememo/pages/95.html
標準スタイル設定 拡張スタイル設定 カラム設定 全カラム削除 全アイテム削除 コメント 標準スタイル設定 例1)OnCreate()内 CListCtrl list = GetListCtrl(); HWND hWnd = list.GetSafeHwnd(); DWORD dwStyle = GetWindowLong(hWnd, GWL_STYLE); SetWindowLong(hWnd, GWL_STYLE, (dwStyle ~ LVS_TYPEMASK) | LVS_REPORT | LVS_OWNERDRAWFIXED); 例2)OnCreate()内 // 関数の先頭部分に処理する lpCreateStruct- style = LVS_REPORT | LVS_OWNERDRAWFIXED; if (CListView OnCreate(lpCreateStruct) == -1)return -1; 例3)PreCreateWindow()内 cs.style |= LVS_REPORT | LVS_OWNERDRAWFIXED; return CListView PreCreateWindow(cs); TOP 拡張スタイル設定 例)OnCreate()内 CListCtrl list = GetListCtrl(); DWORD dwExStyle = list.GetExtendedStyle(); dwExStyle |= LVS_EX_FULLROWSELECT | LVS_EX_INFOTIP; list.SetExtendedStyle(dwExStyle); TOP カラム設定 例)void CXXXListView SetColumn(LPTSTR lpszText, int nWidth) CListCtrl listCtrl = GetListCtrl(); CHeaderCtrl* pHeader = listCtrl.GetHeaderCtrl(); int nIndex = pHeader- GetItemCount(); LVCOLUMN lvc; lvc.mask = LVCF_FMT | LVCF_TEXT | LVCF_WIDTH; lvc.fmt = LVCFMT_LEFT; lvc.pszText = lpszText; lvc.cx = nWidth; listCtrl.InsertColumn(nIndex, lvc); TOP 全カラム削除 while(GetListCtrl().DeleteColumn(0)); TOP 全アイテム削除 GetListCtrl().DeleteAllItems(); 但し、仮想リストの場合は除く。 TOP コメント 名前 コメント TOP
https://w.atwiki.jp/sampleisbest/pages/488.html
開発環境 Microsoft Visual Studio Community 2015 実行環境 Windows 10 Home (64bit) プロジェクトの種類 Win32 Project プロジェクト名 MasterMute アプリケーションの種類 Windows application 追加のオプション Empty project, SDL checks プロジェクトのプロパティ C/C++>Code Generation>Runtime Library:Multi-threaded (/MT) MasterMute.exe 参考 MMDevice APIを利用してマスター音量を操作する MasterMute.cpp #pragma comment(lib, "winmm") #include Windows.h #include math.h #include mmdeviceapi.h #include endpointvolume.h #include "Resource.h" #define SAFE_RELEASE(punk) if (punk) { punk- Release(); punk = NULL; } #define TONE_MSEC 1000 #define SAMPLE_RATE 8000 #define DATA_LEN (SAMPLE_RATE * TONE_MSEC / 1000) // INT_PTR CALLBACK DlgProc(HWND hDlg, UINT uMsg, WPARAM wParam, LPARAM lParam); // IMMDeviceEnumerator *pEnum; IMMDevice *pEndpoint; IAudioEndpointVolume *pAudioEndVol; HWAVEOUT hwo; WAVEHDR wh = { 0 }; BYTE data[DATA_LEN]; int APIENTRY wWinMain(HINSTANCE hInstance, HINSTANCE hPrevInstance, LPTSTR lpCmdLine, int nCmdShow) { HRESULT hr = CoInitialize(NULL); hr = CoCreateInstance(__uuidof(MMDeviceEnumerator), NULL, CLSCTX_ALL, IID_PPV_ARGS( pEnum)); hr = pEnum- GetDefaultAudioEndpoint(eRender, eConsole, pEndpoint); hr = pEndpoint- Activate(__uuidof(IAudioEndpointVolume), CLSCTX_ALL, NULL, (void **) pAudioEndVol); DialogBox(hInstance, MAKEINTRESOURCE(IDD_MAIN), NULL, DlgProc); SAFE_RELEASE(pAudioEndVol); SAFE_RELEASE(pEndpoint); SAFE_RELEASE(pEnum); CoUninitialize(); return 0; } INT_PTR CALLBACK DlgProc(HWND hDlg, UINT uMsg, WPARAM wParam, LPARAM lParam) { INT_PTR nRet = TRUE; MMRESULT mmr; switch (uMsg) { case MM_WOM_OPEN wh.lpData = (LPSTR)data; wh.dwBufferLength = DATA_LEN; mmr = waveOutPrepareHeader(hwo, wh, sizeof wh); wh.dwFlags |= WHDR_BEGINLOOP | WHDR_ENDLOOP; wh.dwLoops = 5; mmr = waveOutWrite(hwo, wh, sizeof wh); break; case MM_WOM_DONE mmr = waveOutReset(hwo); mmr = waveOutUnprepareHeader(hwo, wh, sizeof wh); mmr = waveOutClose(hwo); break; case WM_COMMAND switch (LOWORD(wParam)) { case IDC_BEEP WAVEFORMATEX wfx; wfx.wFormatTag = WAVE_FORMAT_PCM; wfx.nChannels = 1; wfx.nSamplesPerSec = SAMPLE_RATE; wfx.nAvgBytesPerSec = SAMPLE_RATE; wfx.nBlockAlign = 1; wfx.wBitsPerSample = 8; wfx.cbSize = 0; mmr = waveOutOpen( hwo, WAVE_MAPPER, wfx, (DWORD_PTR)hDlg, NULL, CALLBACK_WINDOW); break; case IDC_MUTE BOOL bMute; HRESULT hr; hr = pAudioEndVol- GetMute( bMute); hr = pAudioEndVol- SetMute(!bMute, NULL); break; case IDCANCEL EndDialog(hDlg, IDCANCEL); break; } break; case WM_INITDIALOG for (int i = 0; i DATA_LEN; i++) { double t = fmod(i * 440.0 / SAMPLE_RATE, 1.0); data[i] = 128 + (t 0.5 ? -1 1) * 16; } nRet = TRUE; break; case WM_CLOSE EndDialog(hDlg, 0); break; default nRet = FALSE; } return nRet; } resource.h #define IDD_MAIN100 #define IDC_BEEP1000 #define IDC_MUTE1001 MasterMute.rc #include winres.h #include "resource.h" IDD_MAIN DIALOGEX 100, 100, 150, 60 STYLE WS_POPUPWINDOW | WS_MINIMIZEBOX EXSTYLE WS_EX_APPWINDOW CAPTION "Master Mute" FONT 9, "MS Pゴシック" BEGIN PUSHBUTTON"Beep", IDC_BEEP, 50, 10, 50, 15 PUSHBUTTON"Mute", IDC_MUTE, 50, 35, 50, 15 //PUSHBUTTON"Cancel", IDCANCEL, 264, 176, 48, 16 END
https://w.atwiki.jp/nicoapi/pages/39.html
[Web API, 非ログイン可] user/data ユーザーのデータを得る。 リクエスト URL GET http //seiga.nicovideo.jp/api/user/data?id={user_id} user_id ID データ形式 XML 形式。 データサンプル ?xml version="1.0" encoding="UTF-8"? response image_count 3 /image_count image_list image id 3937109 /id user_id 27849771 /user_id title カゼ /title description/ view_count 1399 /view_count comment_count 8 /comment_count clip_count 79 /clip_count summary かわいい・・・ 幼い肢体の太股… あらきれい いいわぁ・・・ 淡い塗りがいいですね やわらかい(確信) ぺろりてぇ・・・ ふぅ・・・ /summary genre 300 /genre category 1 /category image_type 1 /image_type illust_type 0 /illust_type inspection_status 1 /inspection_status anonymous_flag 0 /anonymous_flag public_status 0 /public_status delete_flag 0 /delete_flag delete_type 0 /delete_type cache_time/ created 2014-04-19 14 27 28 /created /image image id 3937107 /id user_id 27849771 /user_id title マリー /title description 5月4日カゲプロオンリーでの、まにおさんの個人イラスト本にゲスト寄稿したものの一部です。(一部と見せかけてほとんど見せている) /description view_count 107 /view_count comment_count 0 /comment_count clip_count 1 /clip_count summary/ genre 200 /genre category 1 /category image_type 1 /image_type illust_type 0 /illust_type inspection_status 1 /inspection_status anonymous_flag 0 /anonymous_flag public_status 0 /public_status delete_flag 0 /delete_flag delete_type 0 /delete_type cache_time/ created 2014-04-19 14 25 10 /created /image image id 3937098 /id user_id 27849771 /user_id title 咲 /title description おなにーするぞ /description view_count 253 /view_count comment_count 0 /comment_count clip_count 2 /clip_count summary/ genre -100 /genre category -1 /category image_type 1 /image_type illust_type 1 /illust_type inspection_status 1 /inspection_status anonymous_flag 0 /anonymous_flag public_status 0 /public_status delete_flag 0 /delete_flag delete_type 0 /delete_type cache_time/ created 2014-04-19 14 19 24 /created /image /image_list comment_list comment comment_id 18534505 /comment_id id 18534505 /id image_id 3937109 /image_id res_id 0 /res_id content ふぅ・・・ /content command/ created 2014-04-19 15 25 53 /created frame -1 /frame user_hash 3rP8+l9ny9Y/iKhs8r9vSn/+jQA /user_hash anonymous_flag 1 /anonymous_flag /comment comment comment_id 18534610 /comment_id id 18534610 /id image_id 3937109 /image_id res_id 0 /res_id content ぺろりてぇ・・・ /content command/ created 2014-04-19 15 33 18 /created frame -1 /frame user_hash RT4Uif3Ry5bDGAcRhin5orV/HHs /user_hash anonymous_flag 1 /anonymous_flag /comment comment comment_id 18535030 /comment_id id 18535030 /id image_id 3937109 /image_id res_id 0 /res_id content やわらかい(確信) /content command/ created 2014-04-19 16 27 01 /created frame -1 /frame user_hash 9qiGEltBimLXeoC3e34PbQAloDE /user_hash anonymous_flag 1 /anonymous_flag /comment comment comment_id 18535065 /comment_id id 18535065 /id image_id 3937109 /image_id res_id 0 /res_id content 淡い塗りがいいですねー /content command/ created 2014-04-19 16 32 25 /created frame -1 /frame user_hash yIPiLKswUDzzOrf9mPCNQpKvYNw /user_hash anonymous_flag 1 /anonymous_flag /comment comment comment_id 18535187 /comment_id id 18535187 /id image_id 3937109 /image_id res_id 0 /res_id content いいわぁ・・・ /content command/ created 2014-04-19 16 50 57 /created frame -1 /frame user_hash fzOgikO+edl+vnjOJHmKbO3B3MI /user_hash anonymous_flag 1 /anonymous_flag /comment comment comment_id 18535527 /comment_id id 18535527 /id image_id 3937109 /image_id res_id 0 /res_id content あらきれい /content command/ created 2014-04-19 17 31 56 /created frame -1 /frame user_hash 26751669 /user_hash anonymous_flag 0 /anonymous_flag /comment comment comment_id 18535595 /comment_id id 18535595 /id image_id 3937109 /image_id res_id 0 /res_id content 幼い肢体の太股… /content command/ created 2014-04-19 17 42 19 /created frame -1 /frame user_hash iRATiyaRxT904yOlMBjjXqLsBCQ /user_hash anonymous_flag 1 /anonymous_flag /comment comment comment_id 18536848 /comment_id id 18536848 /id image_id 3937109 /image_id res_id 0 /res_id content かわいい・・・ /content command/ created 2014-04-19 19 40 45 /created frame -1 /frame user_hash PeeZ+d6n55NtgkVX2Yc9fdmns04 /user_hash anonymous_flag 1 /anonymous_flag /comment /comment_list /response 更新履歴 2014/5/2 記述